0

phân lập sus1 promoter từ cây ngô và thiết kế vector biểu hiện chứa sus1 promoter và gen cryia c

Phân lập SUS1 Promoter từ cây ngô và thiết kế vector biểu hiện chứa SUS1 promoter và Gen Cryia (C)

Phân lập SUS1 Promoter từ cây ngô thiết kế vector biểu hiện chứa SUS1 promoter Gen Cryia (C)

Y dược - Sinh học

... 2.1 C c cp mi c s dng STT Tờn primer Trỡnh t nucleotide Sus F2 5- GGGGCATACCGCAAAACCAG -3 Sus R 5- CAAGGAAACGCAACGCAGTG -3 Zsuc-PstIF Zsuc-NcoIR 5- GCGCGCCTGCAGGGGCATACCGCAAAACCAG -3 Pst I 5- GCGCGCCCATGGTCCAAGGAAACGCAACGCAGTG- ... hi c y nụng, lõm, c ng, nghip Trong ú, gen cryI (cryIAa, cryIAb, cryIAc, cryIB, cryIC, cryIE, cryIF) chim 57 %, cryII chim 5%, cry4 (cryIVA, cryIVB) chim 43%, cryVIII chim 8% Ngoi ra, c c gen cyt, ... GCGCGCCCATGGTCCAAGGAAACGCAACGCAGTG- Nco I S húa bi Trung tõm Hc liu i hc Thỏi Nguyờn http://www.lrc-tnu.edu.vn 25 Húa cht C c húa cht c s dng cho c ng vic nghiờn cu c mua ca c c hóng kh c v c...
  • 73
  • 434
  • 2
Nhân dòng promoter và terminatorheat shock protein 18 2 từ arabidopsis thaliana làm nguyên liệu thiết kế vector biểu hiện gen ở thực vật

Nhân dòng promoter terminatorheat shock protein 18 2 từ arabidopsis thaliana làm nguyên liệu thiết kế vector biểu hiện gen ở thực vật

Báo cáo khoa học

... thuyết (bp) 5’aagcttATGGTCATTTCT TCTGGTTCAAG 3’ 732 bp(*) 5’cctaggTGTTCGTTGCTT TTCGGGGAGACT 3’ 5’gagctcATATGAAGATG 262 bp(*) AAGATGAAA 3’ 5’gaattcCTTATCTTTAAT CATATTCC 3’ kích thư c promoter terminator ... đích, giúp x c định thời gian, vị trí m c độ biểu gen đích [2] Promoter c c u tr c ph c tạp chứa nhiều yếu tố đ c trưng tham gia điều hòa biểu gen m c phiên mã [4] C c yếu tố cis quan trọng promoter ... [11] trình tự nucleotide ngân hàng gen qu c tế NCBI để thiết kế c p mồi đ c hiệu cho phân đoạn gen quan tâm Để thuận lợi cho vi c thiết kế vector chuyển gen sau này, gắn thêm vị trí c t enzym giới...
  • 8
  • 380
  • 1
Tách dòng , giải trình tự và thiết kế vector biểu hiện gen novs tham gia tổng hợp đường noviose trong cấu trúc của kháng sinh novobiocin

Tách dòng , giải trình tự thiết kế vector biểu hiện gen novs tham gia tổng hợp đường noviose trong cấu trúc của kháng sinh novobiocin

Kỹ thuật

... TGGGGCCAGCCGACCTGGACCGTGGATCTGGCACAGCAGATCGTGGCACTCGTCCGG CACGGTGCGTCAGGCGTGTTCCACGGAAAGCGCGGGCGAGGCCACTGGTACGACCTC GCCCGCATGACCTTCCGGCTGCTCGGCGCGGACCCCGGACGAGTGCGCCCGGTGCCC AGCGACCGGATCGCGGCGGTGAACTGCGGCCCCGGTACACGGTGTTGGGGCATGACG ... GACAGGCACCGGCCGACGACCATCGTCAACTGCGCCGCCTGGACACGATTCGGCGAG GCGGAGGCCGGCGAATCGGCGGCCCTTCTCGTCAACGGAGGAGGGGCCCGGGAGCTG GCCGCGGTATGCCGTGATCGATCGATACGGCTGGTCCACCTCTCCACCGATTACGTC TTCGACGGCACGAGCCGCCGCCCCTACGCCGAAAGCGCGGTCACGAGCCCGATCAAC ... GAATTCCATGGATGCGGTACCCATATGACGGATCGCTGGCTGGTCACCGGTGCGGCG GGAATGCTCGGGCGAGATCTGGTGGCGCTCCTGCGAGGGCTGAACGAACCGGTGGTC GCCATCACCCGGCACGATCTCGACATCACCGACCGCCTCTCGGTCCGGGCCGTTGTC GACAGGCACCGGCCGACGACCATCGTCAACTGCGCCGCCTGGACACGATTCGGCGAG...
  • 30
  • 785
  • 0
Thiết kế vector biểu hiện gen Organophosporus Hydrolase (OPHC2) phục vụ tạo cây chuyển gen phân hủy thuốc trừ sâu

Thiết kế vector biểu hiện gen Organophosporus Hydrolase (OPHC2) phục vụ tạo cây chuyển gen phân hủy thuốc trừ sâu

Y dược - Sinh học

... h c hàn lâm Trung Qu c phân lập đƣ c từ chủng Pseudomonas pseudoalcaligenes C2 -1 hồ chứac bị ô nhiễm Từ chủng vi khuẩn nhà khoa h c phân lập đƣ c gen OPHC2 c kích thƣ c 906bp, mã hóa cho ... nghiệm thiết kế vector chuyển gen pBI121/OPHC2opt trình chuyển gen OPHC2opt vào thu c thông qua A tumefaciens 2.2.1 Thiết kế c u tr c OPHC2opt Thiết kế c u tr c gen OPHC2opt Trên sở trình tự gen ... vụ tạo chuyển gen phân hủy thu c trừ sâu” M c tiêu nghiên c u Thiết kế đƣ c cấu tr c mang gen OPHC2opt tối ƣu phù hợp với biểu th c vật Thiết kế đƣ c vector mang gen OPHC2opt, nhằm m c đích tạo...
  • 75
  • 498
  • 3
Tách dòng và thiết kế vector biểu hiện gen mã hóa cho thụ thể neurokinin 1 ở người việt nam

Tách dòng thiết kế vector biểu hiện gen mã hóa cho thụ thể neurokinin 1 ở người việt nam

Thạc sĩ - Cao học

... -5’-CTAGAAGATCGAAATGGATAACGTCCTCC /-/-/ pNK1 /-/-/ -CAATGTGCTCTCCTAGGGATCCTTA-3’ ATTT AAGCTT ACCA TGG ATAA CGTC C TCC Hình 16: Sơ đồ thiết kế mồi NK1 F3/R3 để khuếch đại cDNA-NK1 từ vector pNK1-3 Mặt kh c, sử dụng ... AAGCTT ACCATGG ATAACGTCCTCC - 3’ AAGCTT ACCATGG + NK1 R3: 5’- Hind III Trình tự Kozak - 3’ TAA GGATCC CTAGGAGAGCACATTG GGATCC Bam HI G TTAC AC GA GAGGA TC CCTAGG AAT -5’-CTAGAAGATCGAAATGGATAACGTCCTCC ... hợp gen mã hóa cho thụ thể với gen mã hóa cho NK1 biểu chúng hai hệ vector pCDNA3.1 pEGFP-N1 cho kết tốt Đây tiền đề cho lựa chọn thiết kế vector biểu pCDNA3 pEGFP-N1 đề tài pCDNA3 pEGFP-N1 vector...
  • 23
  • 592
  • 0
Tách dòng và thiết kế vector biểu hiện gen mã cho thụ thể neurokinin-1 ở người Việt Nam

Tách dòng thiết kế vector biểu hiện gen mã cho thụ thể neurokinin-1 ở người Việt Nam

Sinh học

... CGAAATGGATAACGTCCTCCC NK1 R CAAGTCCCAGTGTGAGGGTG M c đích Phân lập cDNA mã hóa cho NK1 F1 GATCTACTTCCTCCCCCTGC NK1 R1 GCCAGCAGATGGCGAAGG NK1 F3 ATTTAAGCTTACCATGGATAACGTCCTCC NK1 R3 TAAGGATCCCTAGGAGAGCACATTG ... TAAGGATCC CTAGGAGAGCACATTG - 3’ GGATCC Bam HI GTTAC AC GAGAGGATCC CTAGG AAT -5’-CTAGAAGATCGAAATGGATAACGTCCTCC /-/-/ pNK1 /-/-/ -CAATGTGCTCTCCTAGGGATCCTTA-3’ - ATTT AAGCTTAC CATGG ATAAC GTC CTC C ... TAAGGATCCCTAGGAGAGCACATTG Chuyển gen mã hóa cho neurokinin-1 từ vector tái tổ hợp pJET1.2-NK1 sang vector biểu pJET Forward CGACTCACTATAGGGAGAGCGGC Sàng l c pJET Reverse AAGAACATCGATTTTCCATGGCAG khuẩn l c thu...
  • 62
  • 445
  • 0
Thiết kế vector biểu hiện mang gen OsNAC1 được điều khiển bởi promoter cảm ứng điều kiện bất lợi RD29A

Thiết kế vector biểu hiện mang gen OsNAC1 được điều khiển bởi promoter cảm ứng điều kiện bất lợi RD29A

Báo cáo khoa học

... 5’-AGACCGGCAACAGGATTCAA-3’ 5’-CCCACTATCCTTCGCAA-3’ GUS-Rv 5’-GATTTCACGGGTTGGGGTTTCT-3’ NAC1-Fw NAC1-Rv 5-GGATCCATGGGGATGGGGATGAGGAG-3’ 5-’GGATCCTCAGAACGGGACCATGCCCA-3’ P.T Hằng nnk / Tạp chí Khoa h c ĐHQGHN: ... nghiên c u Tên mồi Trình tự mồi RD-Fw 5’-AAGCTTCGACTCAAAACAAACTTA-3’ RD-Rv 5’-GGATCCAATCAAACCCTTTATTCC-3’ SP6 T7 5’-ATTTAGGTGACACTATAGAA-3’ 5’-TAATACGACTCACTATAGGG-3’ NOS-Rv 35S-Fw 5’-AGACCGGCAACAGGATTCAA-3’ ... tới c khoảng 117 gen NAC hệ gen Arabidopsis 151 gen NAC hệ gen lúa, 26 gen NAC họ cam chanh, 152 gen NAC đậu tương thu c phân lập số gen NAC nghiên c u ch c [8-10] Trong nghiên c u này, chúng...
  • 10
  • 388
  • 0
BÁO CÁO KHOA HỌC:

BÁO CÁO KHOA HỌC: "TẠO DÒNG THIẾT KẾ VECTƠ BIỂU HIỆN GEN KHÁNG NGUYÊN LÕI CỦA VIRUT VIÊM GAN B (HBcAg) PHÂN LẬP TỪ KHỐI U CỦA BỆNH NHÂN UNG THƯ GAN" pdf

Báo cáo khoa học

... GGAATTCATGGACATTGACCC EcoR I HBcH3: AAGCTTCTAACATTGAGATTCC Hind III Tiến hành PCR với c p mồi HBCR1 HBCH3, sau gắn sản phẩm PCR vào vector tách dòng pCR 2.1 để tạo dòng phụ Gen hbc c t khỏi vector tách dòng pCR ... khuôn c p mồi đ c hiệu HBCP1 HBCM1, c trình tự sau: HBCP: 5'-TGTTCAAGCCTCCAAGCTGTGC-3' HBCM1:5'-TCCCACCTTATGAGTCCAAGGG-3' Sử dụng đơn vị Taq Polymeraza c ng ty Perkin Elmer cho ống phản ứng PCR ... vi c đẩy mạnh sản xuất v c xin nư c vi c nhập dây chuyền c ng nghệ đại kết hợp với vi c nghiên c u tách dòng biểu gen từ đối tượng gây bệnh phân lậpc cần thiết Chúng nghiên c u tách dòng thiết...
  • 17
  • 733
  • 0
Khóa luận nghiên cứu tách dòng, giải trình tự và thiết kế vecto biểu hiện gene novw tham gia sinh tổng hợp kháng sinh novobiocin

Khóa luận nghiên cứu tách dòng, giải trình tự thiết kế vecto biểu hiện gene novw tham gia sinh tổng hợp kháng sinh novobiocin

Công nghệ thông tin

... GGGAAGGCACCCGGCTCGACGATGTCAGCCGTCGTGCCGTCTACCTCTCGGAGGGCAT CGGGCACGGCTTCTGCGCGATCTCGGACGAGGCCACGCTGTGCTATCTGTCTTCGGGGA CCTACGACCCGGCGACCGAGCACGGTGTGCACCCGCTCGATCCCGAACTGGCCATCGA CTGGCCCACCGGGACGCCGCTGCTGTCCCCCCGCGACCAGGACGCGCTCCTGCTCGCCG ... GGCCGCCCCCTGCGGCTGGCCCAGGCCAATCTGTCGGTGTCCGTCCGCGGGGTGGTGCG CGGCATCCACTTCGTCGATGTGCCGCCGGGGCAGGCCAAGTACGTGACGTGTGTGCGC GGCGCGGTGTTCGACGTGGTGGTGGACCTGCGCGTCGGCTCGCCGACGTACGGGTGCT GGGAAGGCACCCGGCTCGACGATGTCAGCCGTCGTGCCGTCTACCTCTCGGAGGGCAT ... Khoa C ng Ngh Sinh Hc Khúa Lun Tt Nghip K13 Vin i Hc M H Ni GTGAGACTTCGCCCGCTCGGTATCGAGGGTGTCTGGGAGATCACCCCCGAGCAGCGCG CCGATCCGCGGGGGGTCTTCCTGGACTGGTATCACGTCGACCGGTTCGCCGAGGCGATC GGCCGCCCCCTGCGGCTGGCCCAGGCCAATCTGTCGGTGTCCGTCCGCGGGGTGGTGCG...
  • 56
  • 474
  • 0
Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p10 docx

Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p10 docx

Cao đẳng - Đại học

... gian nhận th c hạn chế nên vi c tìm hiểu xây dựng mô hình, viết chơng trình điều khiển cha với dây chuyền sản xuất th c tế Một số khâu đ c mô hình hoá c i biến c ch thuận tiện Mong c nhiều thời ... nghiệp w w w C bu y N O W ! XC er O W F- w PD h a n g e Vi e ! XC er PD F- c u -tr a c k c h a n g e Vi e N bu y c Chơng trình dịch sang dạng STL nh sau: NETWORK //DK DAY CHUYEN KINH CAN - DK dan ... Vi e ! XC er PD F- c u -tr a c k c h a n g e Vi e N bu y c Mặt nhận th c Qua trình nghiên c u, tìm hiểu tài liệu để th c đề tài hiểu biết phát triển c ng nghệ tự động hoá vi c áp dụng n c ta Đề...
  • 8
  • 314
  • 0
Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p9 docx

Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p9 docx

Cao đẳng - Đại học

... khiển cho dây chuyền kính kéo ngang Để thuận tiện cho vi c viết chơng trình điều khiển sử dụng ký hiệu cho phần tử phân c ng tín hiệu vào / Bảng 3.8: Phân c ng tín hiệu vào/ra cho dây chuyền ... Tăng t c dàn lăn tăng t c - Hệ thống c p nhiệt lò ủ Từ vi c nghiên c u sơ đồ c ng nghệ, phân c ng tín hiệu vào mô trình viết chơng trình điều khiển cho dây chuyền sản xuất kính kéo ngang Chơng ... tăng t c M5 LĐ3 Q 0.2 - Truyền động lăn bẻ mép DCD1 Q 0.3 - Dàn dao c t d c băng kính DCN1 Q 0.4 - Dàn dao c t ngang chuyển động từ trái sang phải DCN2 Q 0.5 - Dàn dao c t ngang chuyển động từ phải...
  • 8
  • 338
  • 0
Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p8 ppt

Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p8 ppt

Cao đẳng - Đại học

... ph c vụ c ng vi c chuyên biệt định Ngày c m biến quang điện tiêu chuẩn đ c cải tiến gồm c c c phát c c thu riêng biệt, vài loại đ c trang bị c p quang không phân nhánh khuyếch đại Nắn đ c m c ... ngõ cho PLC 3.3.4 Rơle 24VDC C c cổng PLC Rơle hệ thống đèn LED Rơle dùng để đóng mạch cung c p nguồn chiều cho động điện, rơle đ c sử dụng cho thiết bị chuyển mạch c dòng điện nhỏ, thấp 10A ... đ c đa vào A+ A- Nh phân tích phần thiết kế c m biến nhiệt, nhiệt độ định m c 50 0C, nhiệt độ khoảng từ 20oC đến 50oC tơng ứng với m c điện áp 0V đến 3V Nghĩa c tơng ứng 1oC ứng với 0,1V, từ...
  • 9
  • 316
  • 0
Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p6 pptx

Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p6 pptx

Cao đẳng - Đại học

... dụng Chơng trình đầy đủ đ c chạy theo số lợng chu kỳ x c định tr c đ c phân tích Thiết bị bên nh bit nhớ, định thời, đếm, đ c ghi l c tối đa 124 chu kỳ C c đầu vào/ra đ c cài đặt đ c lập theo chu ... trình vào CPU Sau c i đặt truyền thông ta nạp chơng trình vào CPU vi c Download chơng trình C ch Download chơng trình Nếu thiết lập thành c ng liên kết PC PLC ta Download chơng trình tới PLC * Chú ... đ c/ ghi đ c chúng đ c truy c p theo bit, byte, từ đơn từ kép Th c chơng trình PLC th c chơng trình theo chu trình lặp vòng lặp vòng quét (Scan cycle) Mỗi vòng quét đ c bắt đầu giai đoạn đ c liệu...
  • 9
  • 313
  • 0
Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p5 ppt

Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p5 ppt

Cao đẳng - Đại học

... khiển, chẳng hạn đóng tiếp điểm c ng t c, đ c dùng làm ngõ vào PLC Thuật ngữ ngõ đ c dùng cho thiết bị đ c nối kết với ngõ PLC, ví dụ, động C c thiết bị điện đ c trình bày điều kiện chuẩn chúng ... hình thang N c đỉnh thang đ c đ c từ trái sang phải Tiếp theo, n c thứ hai tính từ xuống đ c đ c từ trái sang phải Khi chế độ hoạt động, PLC từ đầu đến cuối chơng trình thang, n c cuối chơng trình ... lệnh logic Lập trình thang PLC thông dụng dựa sơ đồ thang Vi c viết chơng trình tơng đơng với vẽ mạch chuyển mạch sơ đồ thang gồm hai đờng d c biểu diễn đờng c ng suất C c mạch đ c nối kết qua...
  • 9
  • 407
  • 0
Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p4 docx

Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p4 docx

Cao đẳng - Đại học

... khởi động l c th c dễ dàng mà không c n thao t c phần c ng Chơng trình đ c đa vào nhớ PLC thiết bị lập trình, thiết bị không kết nối c định với PLC chuyển từ thiết bị điều khiển đến thiết bị điều ... Solenoid thiết bị nhập/xuất đ c phân loại theo kiểu tín hiệu cung c p, rời r c Digital Analog C c thiết bị cung c p tín hiệu rời r c Digital thiết bị c tín hiệu on off C c thiết bị Analog cung c p ... định kích c yêu c u nhớ (độ dài chơng trình) tối đa Từ dễ dàng, nhanh chóng lựa chọn loại PLC phù hợp C c thiết bị lập trình loại c m tay, giao tiếp để bàn, máy tính C c hệ thống c m tay c bàn...
  • 9
  • 346
  • 0
Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p2 potx

Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p2 potx

Cao đẳng - Đại học

... th c trình c t băng kính, mô hình hoàn chỉnh ph c vụ tốt cho c ng t c dảng dạy mà c thể dạy ứng dụng lập trình PLC Sinh viên hiểu đ c vấn đề lập trình PLC dễ dàng 1.5 Kết luận chơng Cu c cách ... vi c mà ta biết c ch giải Nh vi c tổ ch c lời giải (thuật toán) toán đ c thể theo c u tr c phân c p hay theo sơ đồ c u tr c 2.1 C ng nghệ sản xuất kính [1] Thành lập năm 1986, C ng ty kính Đáp C u ... hữu hạn b c th c ta đạt đ c kết mong muốn C c toán giải đ c máy tính điện tử ngày đa dạng ph c tạp C c thuật toán (giải thuật) chơng trình để giải chúng ngày c qui mô lớn khó thiết lập nh muốn...
  • 9
  • 272
  • 0
Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p1 doc

Giáo trình phân tích công nghệ tự động hóa trong thiết kế mạch điều khiển logic với cổng truyền thông p1 doc

Cao đẳng - Đại học

... c ng suất lên 3,8 triệu m2/năm (tiêu chuẩn 2mm) Với ch c nhiệm vụ sản xuất kính xây dựng, C ng ty cung c p cho thị trờng sản phẩm đạt tiêu chuẩn chất lợng C c sản phẩm c ng ty đạt nhiều huy chơng ... Thành lập chơng trình điều khiển Phơng pháp th c nghiệm kiểm chứng - Chạy thử chơng trình, phát lỗi hoàn thiện chơng trình Dụng c th c hành - Máy tính PC (Personal Computer) - Bộ điều khiển Logic ... O W ! XC er O W F- w PD h a n g e Vi e ! XC er PD F- c u -tr a c k c h a n g e Vi e N bu y c keo PVB nhiệt độ 300 - 70 0C đ c dán ép chặt thiết bị nồi hấp c áp l c 15bar, nhiệt độ 150 0C - Sản...
  • 8
  • 207
  • 0

Xem thêm