line follower robot circuit using 8051 microcontroller

Line tracking robot

Line tracking robot

Ngày tải lên : 22/05/2014, 20:53
  • 71
  • 928
  • 6
Robot dò line

Robot dò line

Ngày tải lên : 25/04/2013, 13:45
... hiểm thay thế con người, robot giúp người già, robot bán hàng…v.v. Trong đồ án lần này em thực hiện làm robot dò line, so với những robot trên thì nó chỉ là 1 robot nhỏ, đơn giản nhưng ... cách hoạt động của robotline chúng ta có thể phát triển rộng hơn để tạo nên những robot có ứng dụng thực tế hơn như robot thay con người đi vào những nơi nguy hiểm, những robot làm 1 công ... khiển: /***************************************************** Project : DO AN MON HOC 1 ( Robot do line) Version : 1.0 Date : 20/12/2010 Author : Pham Quoc Gia Company : Lop 08-DTD Comments:...
  • 28
  • 2.7K
  • 3
XÂY DỰNG ỨNG DỤNG MARKET THỬ NGHIỆM CÁC ỨNG DỤNG   CHO MICROCONTROLLER 8051

XÂY DỰNG ỨNG DỤNG MARKET THỬ NGHIỆM CÁC ỨNG DỤNG CHO MICROCONTROLLER 8051

Ngày tải lên : 26/04/2013, 09:50
... mô tả hệ thống. Bộ tạo dao động xây dựng ứng dụng Market thử nghiệm các ứng dụng cho microcontroller 8051. 1. Tính cấp thiết của đề tài: Với mục đích áp dụng những kiến thức đ học, nâng cao ... hành nghiên cứu khoa học với đề tài Xây dựng ứng dụng Maket thửÃ nghiệm các ứng dụng cho MicroController 8051 . Trong những năm gần đây trên thế giới cùng với sự phát triển mạnh mẽ của công nghệ ... điều khiển đểÃ điều khiển thang máy và chọn vi điều khiển điển hình là 8051. 2. Nội dung của đề tài: a- Giới thiệu về MicroController 80C51: Theo yêu cầu thực tiễn ngày càng cao, các bộ vi...
  • 5
  • 257
  • 1
Electronics and Circuit Analysis Using MATLAB P1

Electronics and Circuit Analysis Using MATLAB P1

Ngày tải lên : 23/10/2013, 16:15
... LLC © 1999 CRC Press LLC Attia, John Okyere. “Matlab Fundamentals.” Electronics and Circuit Analysis using MATLAB. Ed. John Okyere Attia Boca Raton: CRC Press LLC, 1999 ... vector t2. Also, for matrix t3, t3(2,3) denotes the entry in the second row and third column. Using the co- lon as one of the subscripts denotes all of the corresponding row or column. For ... COMPLEX NUMBERS MATLAB allows operations involving complex numbers. Complex numbers are entered using function i or j. For example, a number zj =+ 22 may be entered in MATLAB as z = 2+2*i...
  • 23
  • 467
  • 1
Electronics and Circuit Analysis Using MATLAB

Electronics and Circuit Analysis Using MATLAB

Ngày tải lên : 27/10/2013, 23:15
... CHAPTER TWELVE TRANSISTOR CIRCUITS 12.1 BIPOLAR JUNCTION TRANSISTORS 12.2 BIASING OF BJT DISCRETE CIRCUITS 12.2.1 Self-bias circuit 12.2.2 Bias stability 12.3 INTEGRATED CIRCUIT BIASING 12.3.1 ... concepts, not with the aim of writing a textbook on circuit analysis and electronics. Selected problems in circuit analysis have been solved using MATLAB. © 1999 CRC Press LLC © 1999 ... Voltage of an RLC Circuit 6.3 AC Current and Voltage of a Circuit with Two Sources 6.4 Unbalanced Wye-wye Connection 6.5 Network Function, Poles and Zeros of a Circuit 6.6 Inverse...
  • 400
  • 511
  • 2
Electronics and Circuit Analysis Using MATLAB P3

Electronics and Circuit Analysis Using MATLAB P3

Ngày tải lên : 27/10/2013, 23:15
... LLC © 1999 CRC Press LLC Attia, John Okyere. “Control Statements .” Electronics and Circuit Analysis using MATLAB. Ed. John Okyere Attia Boca Raton: CRC Press LLC, 1999 ... The text with format specification should end with \n to indicate the end of line. However, we can also use \n to get line feeds as represented by the fol- lowing example: r1 = 1500; fprintf('resistance ... “short” format (5 significant dig- its). Format compact suppresses line- feeds that appear between matrix dis- plays, thus allowing more lines of information to be seen on the screen. For- ©...
  • 17
  • 440
  • 0
Electronics and Circuit Analysis Using MATLAB P2

Electronics and Circuit Analysis Using MATLAB P2

Ngày tải lên : 27/10/2013, 23:15
... implying, plot x versus y using green color. Line and mark style may be added to color type using the command plot(x, y, ’+w’) The above statement implies plot x versus y using white + marks. ... Current of an RL Circuit under Sinusoidal Steady State Conditions © 1999 CRC Press LLC © 1999 CRC Press LLC 2.2 X-Y PLOTS AND ANNOTATIONS The plot command generates a linear x-y plot. ... plot(x) will produce a linear plot of the elements in the vector x as a function of the index of the elements in x. MATLAB will connect the points by straight lines. If x is a matrix, each...
  • 16
  • 453
  • 1
Electronics and Circuit Analysis Using MATLAB P6

Electronics and Circuit Analysis Using MATLAB P6

Ngày tải lên : 06/11/2013, 09:15
... Three-phase circuits are analyzed by converting the circuits into the frequency domain and by using the Kirchoff voltage and current laws. The un- known voltages and currents are solved using matrix ... following example shows how to obtain and plot the frequency response of an RLC circuit. Example 6.7 For the RLC circuit shown in Figure 6.13, (a) show that the transfer function is Hs Vs Vs s R L ss R LLC o i () () () == ++ 2 1 ... CRC Press LLC Attia, John Okyere. “AC Analysis and Network Functions.” Electronics and Circuit Analysis using MATLAB. Ed. John Okyere Attia Boca Raton: CRC Press LLC, 1999 ...
  • 39
  • 561
  • 0
Electronics and Circuit Analysis Using MATLAB P5

Electronics and Circuit Analysis Using MATLAB P5

Ngày tải lên : 06/11/2013, 09:15
... V s R 1 R 3 R 2 C 1 C 2 L V 1 V 2 I 1 y(t) + + + - Figure 5.11 Circuit for State Analysis Using the above guidelines, we select the state variables to be VV 12 , , and i 1 . Using nodal analysis, we have © 1999 ... CRC Press LLC 5.2 RL NETWORK Consider the RL circuit shown in Figure 5.5. L R V o (t) i(t) Figure 5.5 Source-free RL Circuit Using the KVL, we get L di t dt Ri t () () += 0 ... current response of a source-free RL circuit with initial current I m , and it represents the natural response of an RL circuit. Figure 5.6 is an RL circuit with source voltage vt V S () = ....
  • 33
  • 714
  • 0
Electronics and Circuit Analysis Using MATLAB P4

Electronics and Circuit Analysis Using MATLAB P4

Ngày tải lên : 06/11/2013, 09:15
... electrical circuits. Example 4.1 For the circuit shown below, find the nodal voltages VV 12 , and V 3 . 5 A 2 A50 Ohms 40 Ohms10 Ohms 20 Ohms V VV 1 2 3 Figure 4.1 Circuit with ... VVV b =− 14 (4.31) Using Ohm’s Law I VV a = − 43 5 (4.32) Using KCL at node 1, and supernode 1-2, we get VVV V VV b 114 23 210 5 8 0 + − −+ − = (4.33) Using Equation (4.31), ... voltages for the circuit shown in Figure P4.2. Solve the equations using MATLAB. 4 Ohms 2 Ohms 5 Ohms 3 Ohms 8 Ohms 6 Ohms 3 A 4 A 6 A V 2 V 4 V 5 V 3 V 1 Figure P4.2 Circuit for Exercise...
  • 27
  • 471
  • 0
Tài liệu Microcontroller 8051 - Phần 1: Microprocessors course pptx

Tài liệu Microcontroller 8051 - Phần 1: Microprocessors course pptx

Ngày tải lên : 12/12/2013, 16:15
... ghi) • Indexed (Chỉ số) Pins of 8051 ( 4/4 ( • ALE : pin 30 :: address latch enable (cho phép cài địa chỉ) – Là tín hiệu ra tác động mức cao. – Port 0 của 8051 sử dụng cho cả dữ liệu ... MOV A,72H Sau lệnh “MOV A,72H ” nội dung ô nhớ 72 của RAM sẽ chép vào thanh chứa. 8086 8051 MOV AL,72H MOV A,#72H MOV AL,’r’ MOV A,#’r’ MOV BX,72H MOV AL,[BX] MOV A,72H Chú ý 2: MOV ... tin cậy. Ba yếu tố để lựa chọn một bộ vi điều khiển. Hình 7-2 (a). Nối thạch anh cho 8051 C2 30pF C1 30pF XTAL2 XTAL1 GND • Sử dụng bộ dao động thạnh anh. • Có thể quan sát...
  • 88
  • 337
  • 2
Tài liệu Electronics and Circuit Analysis Using MATLAB P12 pdf

Tài liệu Electronics and Circuit Analysis Using MATLAB P12 pdf

Ngày tải lên : 13/12/2013, 01:15
... midband equivalent circuit of the common-source amplifier. This is shown in Figure 12.24. The equivalent circuit is obtained by short-circuiting all the external capacitors and open- circuiting all ... versus Temperature 12.3 INTEGRATED CIRCUIT BIASING Biasing schemes for discrete electronic circuits are not suitable for integrated circuits (IC) because of the large number of ... equivalent circuit of the common-emitter amplifier is shown in Figure 12.15. R s R B r V π r x C π µ c C r ce R c R L π B B' V s g m V V o π Figure 12.15 Equivalent Circuit of CE...
  • 52
  • 559
  • 0