Màn hình quảng cáo ở chế độ văn bản sử dụng ma trận LED 5x7 có điều khiển máy tính phần 2

23 891 1
Màn hình quảng cáo ở chế độ văn bản sử dụng ma trận LED 5x7 có điều khiển máy tính phần 2

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Màn hình quảng cáo ở chế độ văn bản sử dụng ma trận LED 5x7 có điều khiển máy tính

Thiết kế mạch logic số Phần I: sở lý thuyếtchơng i: giới thiệu các cổng logic bảnI. Hàm logic Và (AND), Hoặc (OR), Đảo (NOT) 1. Cổng logic Gọi A là biến số nhị phân mức logic là 0 hoặc 1, và Y là một biến số nhị phân tuỳ thuộc vào A: Y= f(A).Trong trờng hợp này hai khả năng xảy ra:- Y= A, A= 0 thì Y= 0hay A= 1 thì Y= 1- Y= A A= 0 thì Y= 1hay A= 1 thì Y= 0Khi Y tuỳ thuộc vào hai biến số nhị phân A, B Y= f(A, B)Vì biến số A, B chỉ thể là 0 hay 1 nên A và B chỉ thể tạo ra 4 tổ hợp khác nhau là:A B0 00 11 01 1Bảng liệt kê tất cả các tổ hợp khả dĩ của các biến số và hàm số tơng ứng gọi là bảng chân lý. Khi ba hay nhiều biến số (A, B, C), số lợng hàm số khả dĩ tăng nhanh.Mạch điện tử thực hiện quan hệ logic:Y= f(A) hay Y= f(A, B).gọi là mạch logic, trong đó các biến số A, B là các đầu vào và hàm số Y là các đầu ra. Một mạch logic diễn tả quan hệ giữa các đầu vào và đầu ra, nghĩa là thực hiện đợc một hàm logic. Do đó bao nhiêu hàm số logic thì bấy nhiêu mạch logic.Lu ý rằng khi biểu diễn mối quan hệ toán học ta gọi là hàm số logic còn khi biểu diễn mối quan hệ về mạch tín hiệu ta gọi là cổng logic.2. Cổng logic Và (AND) Hàm logic Và đựoc định nghĩa theo bảng sự thật sau:A B Y0 0 00 1 01 0 01 1 1Ký hiệu cổng Và (AND)Ký hiệu toán học của hàm số Và là: Y= A.B3. Cổng logic Hoặc (OR) Hàm số Hoặc của hai biến số A, B đợc định nghĩa bảng sự thật sau:1ABY=A.B MạchABY Thiết kế mạch logic số Phần I: sở lý thuyếtA B Y0 0 00 1 11 0 11 1 1Ký hiệu cổng Hoặc (OR)Đầu ra Y là 1 khi ít nhất một biến số là 1, do đó chỉ bằng 0 trờng hợp khi cả hai biến số bằng 0.Ký hiệu toán học của cổng Hoặc là:Y= A+ B4. Cổng logic Đảo (NOT) Hàm Và và hàm hoặc tác động lên hai hay nhiều biến số trong khi đó, hàm Đảo thể xem nh chỉ thể tác động lên một biến số.Bảng sự thật:A Y0 11 0Ký hiệu hàm Đảo (NOT)Hàm Đảo tác động phủ định.II. Cổng logic Không- Và (NAND), không- Hoặc (NOR) 1. Cổng logic NAND Xét trờng hợp hai biến số A, B đầu ra cổng Và Y= A.B nên đầu ra cổng Không là đảo của Y: Y= A.BVề hoạt động của cổng NAND thì từ các tổ hợp của A, B ta lập bảng trạng thái rồi lấy đảo để Y đảo. Tuy nhiên thể trực tiếp bằng cách lập bảng sự thật sau:A B Y0 0 10 1 11 0 11 1 0 Ký hiệu cổng NAND 2. Cổng NORXét trờng hợp hai đầu vào là A, B. Đầu ra cổng NOR là: Y= A+ Bnên đầu ra cổng đảo là: Y= A+ B2AY = AABYABY Thiết kế mạch logic số Phần I: sở lý thuyếtBảng sự thật:A B Y0 0 10 1 01 0 01 1 0III. Hàm logic khác dấu (XOR) và hàm logic đồng dấu (XNOR) 1. Cổng logic XOR Y= A BBảng chân lý:A B Y0 0 00 1 11 0 11 1 0Ký hiệu cổng XOR2. Cổng logic XNORY= A BBảng chân lý:A B Y0 0 10 1 01 0 01 1 1Ký hiệu cổng XNORIV. Biến đổi các hàm quan hệ ra hàm logic NAND, NORMối liên hệ bản giữa ba cổng AND, OR, NOT không những thể thay bằng các cổng NAND còn thể biến thành cổng NOR với cùng một chức năng logic, việc làm này thờng đợc áp dụng khi thực hiện các mạch logic. Trong thực tế, vì toàn bộ sơ đồ nếu đợc kết hợp cùng một loại cổng duy nhất thì sẽ giảm đợc số lợng vi mạch cần thiết. Quá trình biến đổi này dựa trên một nguyên tắc đợc trình bày nh sau:- Cổng NOT đợc thay bằng cổng NAND và cổng NOR.+ Dựa vào bảng sự thật của cổng NAND suy ra trờng hợp là khi cả A, B đồng thời bằng 0 thì Y= 1, và khi A=1, B= 1 thì Y= 0.Sơ đồ minh họa:+ Dựa vào bảng sự thật của cổng NOR suy ra:A= 0, B= 0 Y= 13ABYABYA = BYAYBKý kiệu cổng NOR Thiết kế mạch logic số Phần I: sở lý thuyếtA= 1, B= 1 Sơ đồ minh hoạ:- Cổng AND đợc thay thế bằng cổng NAND và cổng NOR. Tơng tự nh các tr-ờng hợp trên, dựa vào bảng sự thật:+ Đầu ra của cổng AND: Y= A. B, còn cổn NAND: Y'= A. B Y'= YSơ đồ minh họa:+ Đầu ra của cổng NOR: Y'= A+ B. Ta Y= A. B = A+ BSơ đồ minh họa:- Cổng OR đợc thay bằng cổng NAND và cổng NOR. + Biểu thức cổng OR: Y= A+ BTa có: Y= A+ B = A. BSơ đồ minh họa:+ Y= A+ B = A+ B 4A = BYABYABYYABA BY Thiết kế mạch logic số Phần I: sở lý thuyếtchơng ii: mạch logic tổ hợpI. Đặc điểm bản của mạch tổ hợp Trong mạch số, mạch tổ hợp là mạch trị số ổn đinh của tín hiệu ra thời điểm bất kỳ chỉ phụ thuộc vào tổ hợp các giá trị tín hiệu đầu vào thời điểm trớc đó. Trong mạch tổ hợp, trạng thái mạch điện trớc thời điểm xét trớc khi tín hiệu đầu vào không ảnh hởng đến tín hiệu đầu ra. Đặc điểm cấu trúc mạch tổ hợp là đợc cấu trúc từ các cổng logic.II. Ph ơng pháp biểu diễn và phân tích chức năng logic 1. Ph ơng pháp biểu diễn chức năng logic Các phơng pháp thờng dùng để biểu diễn chức năng logic của mạch tổ hợp là hàm số logic, bảng chân lý, sơ đồ logic, bảng Karnaugh, cũng thể biểu diễn bằng đồ thị thời gian dạng sóng.Đối với vi mạch cỡ nhỏ (SSI) thờng biểu diễn bằng hàm logic. Đối với cỡ vừa, thờng biểu diễn bằng bảng chân lý, hay là bảng chức năng. Bảng chức năng dùng hình thức liệt kê, với mức logic cao (H) và mức logic thấp (L), để mô tả quan hệ logic giữa tín hiệu đầu ra với tín hiệu đầu vào của mạch điện đang xét. Chỉ cần thay giá trị logic cho trạng thái trong bảng chức năng thì ta bảng chân lý tơng ứng. Nh hình II.II.1 cho thấy, thờng nhiều tín hiệu đầu vào và nhiều tín hiệu đầu ra. Một cách tổng quát, hàm logic của tín hiệu đầu ra thể viết dới dạng:Z1= f1(x1, x2, , xn)Z2= f2(x1, x2, , xn)Zm= fm(x1, x2, , xn)Cũng thể viết dới dạng đại lợng vectơ nh sau:Z= F(X)2. Ph ơng pháp phân tích chức năng logic Các bớc phân tích, bắt đầu từ sơ đồ mạch logic đã cho, để cuối cùng tìm ra hàm logic hoặc bảng chân lý.+ Viết biểu thức: tuần tự từ đầu vào đến đầu ra ( hoặc cũng thể ngợc lại), viết ra biểu thức hàm logic của tín hiệu đầu ra.+ Rút gọn: khi cần thiết thì rút gọn đến tối thiểu biểu thức trên bằng phơng pháp đại số hay phơng pháp hình vẽ.+ Vẽ bảng sự thật: khi cần thiết thì tìm ra bảng sự thật bằng cách tiến hành tính toán các giá trị hàm logic tín hiệu đầu ra tơng ứng với tổ hợp thể của các giá trị tín hiệu đầu vào.5Z1Z2 Zm Mạch tổ hợpX1X2 XnHình II.II.1 - Sơ đồ khối mạch tổ hợp Thiết kế mạch logic số Phần I: sở lý thuyếtIII. Ph ơng pháp thiết kế logic mạch tổ hợp Phơng pháp thiết kế logic là các bớc bản tìm ra sơ đồ mạch điện logic từ yêu cầu và nhiệm logic đã cho.Hình II.III.1 là quá trình thiết kế nói chung của mạch tổ hợp, trong đó bao gồm bốn bớc chính:1. Phân tích yêu cầu: Yêu cầu nhiệm vụ của vấn đề logic thực thể là một đoạn văn, cũng thể là bài toán logic cụ thể. Nhiệm vụ phân tích là xác định cái nào là biến số đầu vào, cái nào là hàm số đầu ra và mối quan hệ logic giữa chúng với nhau. Muốn phân tích đúng thì phải tìm hiểu xem xét một cách sâu sắc yêu cầu thiết kế, đó là một việc khó nhng quan trọng trong vấn đề thiết kế.2. Vẽ bảng chân lý: Nói chung, đầu tiên chúng ta liệt kê thành bảng về quan hệ tơng ứng nhau giữa trạng thái tín hiệu đầu vào với trạng thái hàm số đầu ra. Đó là bảng kê yêu cầu chức năng logic. gọi tắt là bảng chức năng. Tiếp theo, ta thay giá trị logic cho trạng thái, tức là dùng các số 0 và 1 biểu diễn các trạng thái tơng ứng của đầu vào và đầu ra. Kết quả, ta bảng giá trị thức logic, gọi tắt là bảng chân lý. Đó chính là hình thức đại số của yêu cầu thiết kế. Cấn lu ý rằng từ một bảng chức năng thể đợc bảng sự thật khác nhau nếu thay giá trị logic khác nhau (tức là quan hệ logic giữa đầu ra với đầu vào cũng phụ thuộc việc thay giá trị).3. Tiến hành tối thiểu hoá: Nếu biến số ít (dới 6 biến), thì thờn dùng phơng pháp bảng Karnaugh. Còn nếu biến số tơng đối nhiều thì dùng phơng pháp đại số.Ph ơng pháp Karnaugh: Việc sắp xếp các biến trên bảng mintec sao cho các ô đứng cạnh nhau đợc biểu diễn bằng bộ giá trị chỉ cách nhau 1 bit. sở của phơng pháp Karnaugh dựa trên tính chất nuốt của hàm số logic, nghĩa là:A. B + A. B = A( B + B ) = A. 1 = AChơng III: mạch đếm6Vấn đề logic thựcBảng chân lýBảng KarnaughTối thiểu hoáBiểu thức tối thiểuSơ đồ logicBiểu thức logicTối thiểu hoáHình II.III.1 Các bước thiết kế mạch logic tổ hợp Thiết kế mạch logic số Phần I: sở lý thuyếtI. Đại c ơng về mạch đếm Mạch đếm (hay đầy đủ hơn là mạch đếm xung) là một hệ logic dãy đợc tạo thành từ sự kết hợp của các Flip Flop. Mạch một đầu vào cho xung đếm và nhiều đầu ra. Những đầu ra thờng là các đầu ra Q của các FF. Vì Q chỉ thể hai trạng thái là 1 và 0 cho nên sự sắp xếp các đầu ra này cho phép ta biểu diễn kết quả dới dạng một số hệ hai số bit bằng số FF dùng trong mạch đếm.Trên hình III.II.1 là dạng tổng quát của một mạch đếm dùng bốn FF. Mỗi lần xung nhịp đa vào, các FF sẽ đổi trạng thái cho những số hệ 2 khác nhau, nh: 1101 (QA=1, QB= 0, QC= 1, QD= 1), 0110, 1000, v.vĐiều kiện bản để một mạch đợc gọi là mạch đếm là nó các trạng thái khác nhau mỗi khi xung nhịp vào. Ta thấy rằng mạch nh hình trên là thoả mãn đợc điều kiện này. Nhng vì số FF xác định nên số trạng thái khác nhau tối đa của mạch bị giới hạn, nói cách khác, số xung đếm đợc bị giới hạn. Số xung tối đa đếm đợc gọi là dung lợng của mạch đếm. Nếu cứ tiếp tục kích xung khi đã tới giới hạn thì mạch sẽ trở về trạng thái ban đầu (chẳng hạn là: 0000), tức là mạch tính chất tuần hoàn.Có nhiều phơng pháp kết hợp các FF cho nên rất nhiều loại mạch đếm. Tuy nhiên chúng ta thể sắp xếp chúng vào ba loại mạch chính là: mạch đếm hệ 2, mạch đếm BCD, mạch đếm modul M.+ Mạch đếm hệ 2: là loại mạch đếm trong đó các trạng thái của mạch đợc trình bày dới dạng số hệ 2 tự nhiên. Một mạch đếm hệ 2 sử dụng n FF sẽ dung lợng đếm là 2n.+ Mạch đếm BCD: thờng dùng 4 FF, nhng chỉ cho 10 trạng thái khác nhau để biểu diễn các số hệ 10 từ 0 đến 9. Trạng thái của mạch đợc trình bày dới dạng BCD nh BCD 8421 hoặc BCD 2421, v.v+ Mạch đếm modul M: dung lợng là M với M là số nguyên dơng bất kỳ. Vì thế mạch đếm loại này rất nhiều dạng khác nhau. Mạch thờng dùng cổng logic với FF và các kiểu hồi tiếp đặc biệt để thể trình bày kết quả dới dạng số hệ 2 hay dới dạng nào đó.Về chức năng của mạch đếm, ngời ta phân biệt:+ Các mạch đếm lên (Up Counter), hay còn gọi là mạch đếm cộng, mạch đếm thuận.+ Các mạch đếm xuống (Down Counter), hay còn gọi là mạch đếm trừ, mạch đếm ngợc.+ Các mạch đếm lên xuống (Up Down Counter), hay còn gọi là mạch đếm hỗn hợp, mạch đếm thuận nghịch.+ Các mạch đếm vòng (Ring Counter)Về phơng pháp đa xung nhịp vào mạch đếm, ngời ta phân ra:7 A B C DQAQBQCQDXung đếmHình III.I.1 Dạng tổng quát của mạch đếm dùng bốn FF Thiết kế mạch logic số Phần I: sở lý thuyết+ Phơng pháp đồng bộ: trong phơng pháp này, xung nhịp đợc đa đến các FF cùng một lúc.+ Phơng pháp không đồng bộ: trong phơng pháp này, xung nhịp chỉ đa đến một FF, rồi các FF tự kích lẫn nhau. Một tham số quan trọng của mạch đếm là tốc độ tác động của mạch đếm. Tốc độ này đợc xác định thông qua hai tham số khác là:+ Tần số cực đại của dãy xung bộ đếm thể đếm đợc.+ Khoảng thời gian thiết lập của mạch đếm tức là khoảng thời gian từ khi đa xung đếm vào mạch cho đến khi thiết lập xong trạng thái trong của bộ đếm tơng ứng với xung đầu vào.Các FF thờng dùng trong mạch đếm là loại RST và JK dới dạng bộ phận rời hay dạng tích hợp.Nh trên ta đã biết là nhiều loại bộ đếm, nhng đây ta chỉ xét đến bộ đếm hệ 2.II. Mạch đếm hệ 2 Mạch đếm loại này dung lợng lớn nhất trong các loại mạch đếm và lại tơng đối đơn giản.1. Mạch đếm hệ 2 kích thích không đồng bộ Hình III.II.1 biểu diễn cách nối 3 FF trong một mạch đếm hệ 2 kích thích không đồng bộ. Các FF sử dụng loại FF T. Xung đếm đợc đa vào đầu T của FF đầu tiên, các FF còn lại đợc kích thích bằng tín hiệu lấy ra từ đầu Q của FF trớc nó. Các FF đều chạy bằng sờn sau của xung.Tín hiệu tại các đầu ra của các FF đợc biểu diễn trên hình III.II.2:8QTFF AQTFF BQTFF CXungđếmAB CHình III.II.1 Sơ đồ mạch đếm hệ 2 kích thích không đồng bộ Thiết kế mạch logic số Phần I: sở lý thuyết - Mỗi trạng thái là một số hệ 2 tự nhiên tơng ứng với số lần kích thích.- B hay C đổi mức logic khi FF đứng trớc nó chuyển từ mức 1 xuống 0.- Mạch đếm đợc 8 xung (8= 23, với 3 là số FF) và tự động trả về trạng thái khởi đầu 000.- Đây là mạch đếm lên vì kết quả dới dạng hệ 2 tăng dần theo số xung đếm.2. Mạch đếm hệ 2 kích thích đồng bộ Ngời ta đa xung đếm đến các FF cùng một lúc. Trong trờng hợp này, cần phải mạch ngoài để kiểm soát trạng thái của các FF để tạo thành mạch đếm. Qua bảng trạng thái logic bộ đếm hệ 2 trên ta thấy, B chỉ đổi trạng thái khi xung đếm và A đã lên 1, tơng tự nh vậy, C chỉ đổi trạng thái khi xung đếm và A, B đã lên 1. Ta thể dung thêm các mạch AND để thực hiện việc đó. Trên hình III.II.3.a là sơ đồ của một mạch đếm lên hệ 2 kích thích đồng bộ và trên hình III.II.3.b là dạng sóng tơng ứng.9101010101 2 3 4 5 6 7 8CLK A B CHình III.II.2 Giản đồ xung đếm(b)Số xung A B C012345678 0 0 00 0 10 1 00 1 11 0 01 0 11 1 01 1 10 0 0Bảng trạng thái logic Thiết kế mạch logic số Phần I: sở lý thuyết10Hình III.II.3 Mạch đếm hệ 2 kích thích đồng bộXungđếmA1QTFF AQTFF BQTFF CB C2(a)101 2 3 4 5 6 7 8CLK A AND1 B AND2 C1010101010 [...]... F3= A2.A1.A0 F2= A2.A1.A0 F1= A2.A1.A0 F0= A2.A1.A0 A2 A2 A1 A1 A0 A0 Hình IV.3 .2 Sơ đồ logic bộ giải 2- 10 ba đầu vào 12 Thiết kế mạch logic số Phần I: sở lý thuyết +U R F7 F6 F5 F4 F3 F2 F1 A2 A2 A1 A1 A0 A0 Hình IV.3.3 Thực hiện bộ giải 2- 10 theo phương pháp RDL 13 Thiết kế mạch logic số Phần I: sở lý thuyết Chơng V: Mạch tạo dao động Mạch tạo dao động là mạch đa hài tự dao động hai... một ma trang màn hình văn bản gồm 80 ký tự theo chiều ngang và 25 ký tự theo chiều dọc (80x25) Tần số điểm tạo xung 16 .25 7MHz RAM Đệm ữ9 ữ 80 D0 ữ D7 Ao ữ A6 V syn 50Hz ữ 25 Bộ ghi dịch Tín hiệu hình 16 .25 7.000 điểm/s D0 ữ D7 A0 ữ A7 ROM phát ký tự R0 ữ R3 ữ 14 H syn 18 4. 32 KHz Hình VIII .2 Sơ đồ khối mạch hiện chữ theo ma trận 9x14 trên màn hình máy Mã ASCII của các ký tự thuộc một trang màn hình. .. Ký tự hoặc hình vẽ đợc hiện lên màn hình máy tính bằng tập hợp các điểm sáng hay tối Trong chế độ văn bản (Text mode), các điểm này đợc hình thành bằng việc cho tia điện tử đập hay không đập vào màn huỳnh quang theo một khuôn mẫu sẵn trong đó các điểm đợc tổ chức theo ma trận Trên hình VIII.1 là thí dụ các ma trận điểm dùng làm mẫu chữ phục vụ cho việc hiển thị chữ H và chữ L trên màn hình Ô đen... 2 10) - Giải từ BCD sang thập phân - Giải từ nhị phân sang ma trân chỉ thị - Giải từ BCD sang ma trận chỉ thị đây, ta chỉ xét đến mạch giải 2 10, là loại mạch giải thông dụng nhất 3 Mạch giải 2 10 A0 A0 A1 A1 F0 F1 Giải 2- 10 Ak- FN-1 Hình IV.3.1 Bộ giải 2- 10 Giả sử nhóm k chữ số hệ 2, N= 2 k là số tổ hợp đợc Trên hình IV.3.1 biểu diễn một bộ giải 2- 10 có. .. 0 1 thể thiết kế mạch giải này theo sơ đồ nh trên hình IV.3 .2 Về phơng diện kỹ thuật, ngời ta thờng thực hiện các phần tử AND trên hình IV.3 .2 theo phơng pháp RDL (Resistor Diode Logic) nh trên hình IV.3.3 Dạng kết cấu nh trên hình IV.3.3 gọi là dạng kết cấu ma trận vuông Số phần tử AND độc lập với nhau là 2k, do đó, số diode cần dùng là: Q= k.2k F7= A2.A1.A0 F6= A2.A1.A0 F5= A2.A1.A0 F4= A2.A1.A0... trong của cổng song song 25 chân 20 Bảng II .2. 2 Các địa chỉ thanh ghi của cổng song song trên máy tính PC Cổng song song LPT 1 LPT 2 LPT 3 LPT 4 Địa chỉ thanh ghi dữ liệu 3BCh 378h 27 8h 2BCh Địa chỉ thanh ghi trạng thái 3BDh 379h 27 9h 2BDh Địa chỉ thanh ghi điều khiển 3BEh 37Ah 27 Ah 2BEh 3 Lập trình bằng ngôn ngữ C cho cổng song song Thực chất của việc trao đổi dữ liệu giữa máy tính với các thiết bị... điện tử đập vào màn hình, ô trắng để biểu diễn những chỗ Hình VIII.1 Hiện chữ H và chữ không tia điện tử đập vào màn hình L Đây là ma trận điểm 5x7 cho các ký tự trên màn Các kích thớc ma trận khác đợc dùng trong thực tế là 7x9, 7x 12 hoặc 9x14 hình Các mẫu chữ nh vậy thờng đợc tạo sẵn cho mỗi ký tự ASCII và đợc chứa trong một vi mạch nhớ ROM tên là ROM phát ký tự Trên hình VIII .2 là sơ đồ khối... cắm 36 và cắm 25 chân, nhng đây chúng ta chỉ tìm hiểu vể loại cắm 25 chân 1 13 14 25 Hình II .2. 1 Hình dạng cổng song song 25 chân 18 Thiết kế mạch logic số Phần I: sở lý thuyết Bảng II .2. 1: Tên gọi và chức năng của các chân cổng song song khi ghép nối với máy in Số hiệu chân Tên của tín hiệu 1 Strobe 2 3 4 5 6 7 8 9 D0 D1 D2 D3 D4 D5 D6 D7 10 Acknowledge 11 Busy (Bận) 12 13 Paper empty (Hết... địa chỉ bản, hai địa chỉ còn lại đợc tính theo địa chỉ bản đó Hệ điều hành DOS dự tính đến bốn cổng song song và đặt tên là: LPT1, LPT2, LPT3, LPT4 Nh vậy, sẽ bốn nhóm ba địa chỉ các thanh ghi Tuy nhiên, hầu hết các máy tính hiện nay đều chỉ một cổng song song 7 6 5 4 3 Select Input, chân 17 2 Reset, chân 16 1 Auto Feed, chân 14 0 Strobe, chân 1 Thanh ghi điều khiển Hình II .2. 2 Kết nối... chúng là thể bỏ qua đợc U0 + Trở kháng đầu ra của các cổng bằng 0 Với các giả thiết đã đơn giản hoá nh trên, rõ ràng là U và U2o là bù USS nhau, khi U USS thì U2o 0V và ngợc lại Bây giờ giả sử rằng, U1i cao hơn Ucđ, lúc đó, U 0V và U2o trị số cố định USS, vì vậy U1i tiệm 0 Ui cận dần về phía 0V Khi U1i đạt đến Ucđ Ucđ thì U sẽ thay đổi đột ngột lên Hình V .2 - Đặc tính vào ra lý tưởng đến . A2.A1.A0F5= A2.A1.A0F4= A2.A1.A0F3= A2.A1.A0F2= A2.A1.A0F1= A2.A1.A0F0= A2.A1.A0 A2 A2 A1 A1 A0 A 0Hình IV.3 .2 Sơ đồ logic bộ giải mã 2- 10. trên hình IV.3.3 gọi là dạng kết cấu ma trận vuông. Số phần tử AND độc lập với nhau là 2k, do đó, số diode cần dùng là: Q= k.2k12F7= A2.A1.A0F6= A2.A1.A0F5=

Ngày đăng: 16/11/2012, 11:41

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan