Tài liệu Synthesis Place-and-Route (SP&R) Flow Guide pptx

136 425 1
Tài liệu Synthesis Place-and-Route (SP&R) Flow Guide pptx

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Synthesis Place-and-Route (SP&R) Flow Guide Product Version 4.0.8 May 2001  2001 Cadence Design Systems, Inc. All rights reserved. Printed in the United States of America. Cadence Design Systems, Inc., 555 River Oaks Parkway, San Jose, CA 95134, USA Trademarks: Trademarks and service marks of Cadence Design Systems, Inc. (Cadence) contained in this document are attributed to Cadence with the appropriate symbol. For queries regarding Cadence’s trademarks, contact the corporate legal department at the address shown above or call 1-800-862-4522. All other trademarks are the property of their respective holders. Restricted Print Permission: This publication is protected by copyright and any unauthorized use of this publication may violate copyright, trademark, and other laws. Except as specified in this permission statement, this publication may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed in any way, without prior written permission from Cadence. This statement grants you permission to print one (1) hard copy of this publication subject to the following conditions: 1. The publication may be used solely for personal, informational, and noncommercial purposes; 2. The publication may not be modified in any way; 3. Any copy of the publication or portion thereof must include all original copyright, trademark, and other proprietary notices and this permission statement; and 4. Cadence reserves the right to revoke this authorization at any time, and any such use shall be discontinued immediately upon written notice from Cadence. Disclaimer: Information in this publication is subject to change without notice and does not represent a commitment on the part of Cadence. The information contained herein is the proprietary and confidential information of Cadence or its licensors, and is supplied subject to, and may be used only by Cadence’s customer in accordance with, a written agreement between Cadence and its customer. Except as may be explicitly set forth in such agreement, Cadence does not make, and expressly disclaims, any representations or warranties as to the completeness, accuracy or usefulness of the information contained in this document. Cadence does not warrant that use of such information will not infringe any third party rights, nor does Cadence assume any liability for damages or costs of any kind that may result from use of such information. Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth in FAR52.227-14 and DFAR252.227-7013 et seq. or its successor. Synthesis Place-and-Route (SP&R) Flow Guide May 2001 3 Product Version 4.0.8 Contents Preface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 About This Manual . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 Other Information Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 11 Syntax Conventions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 Text Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 About the Graphical User Interface . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 Using Menus . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 13 Using Forms . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 1 Introduction—RTL to GDSII . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 15 Overall Flow and Associated Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 2 Getting Started . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 Initial Input Files . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 Logical (RTL) Design Data (Required) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 19 Physical Design Data (Optional) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 GCF File (Optional) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 Timing Library (Required) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Timing Constraints (Required) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 21 Physical Library (Required) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Layer Usages Table (Recommended) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Run Scripts and Encapsulation Scripts . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 Command Files—setup.tcl, library.tcl, and design.tcl . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 General Setup of PKS Parameters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 Example Directory Structure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 SE-PKS Compatibility Matrix . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 Synthesis Place-and-Route (SP&R) Flow Guide May 2001 4 Product Version 4.0.8 3 RTL Synthesis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 RTL Synthesis Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 33 RTL Synthesis—Inputs and Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 RTL Synthesis—Run Script (do_rtl) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 do_rtl (example) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 RTL Synthesis—Recommended Practices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 Creating a Separate floor.tcl File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 RTL Synthesis—Example Output Reports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 37 4 Floorplanning . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 Floorplanning Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 Floorplanning—Inputs and Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40 Floorplanning—Recommended Practices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 41 Power Striping Strategies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 42 5 Synthesis, Placement, and Optimization . . . . . . . . . . . . . . . . . . . . . . 43 SPO—Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 43 SPO—Inputs and Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 SPO—Run Script (do_pks) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 do_pks (example) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 SPO—Recommended Practices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 Running PKS Optimizations Automatically . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 Handling High Fanout Nets During PKS Optimization . . . . . . . . . . . . . . . . . . . . . . . . 47 6 Clock Tree Generation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 CTPKS—Advantages . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 CTPKS—Prerequisites for Generating Clock Trees . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 50 CTPKS—Dependencies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 CTPKS—Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52 Synthesis Place-and-Route (SP&R) Flow Guide May 2001 5 Product Version 4.0.8 CTPKS—Inputs and Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 53 CTPKS—Run Script (do_ctpks) Assumptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 do_ctpks (Example) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 do_ctpks (Example2) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 CTPKS—Recommended Practices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 55 7 Post Clock Tree Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 Post-CTPKS Optimization—Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 57 Post-CTPKS Optimization—Inputs and Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 58 Post-CTPKS Optimization—Run Script (do_post_ctpks_optimize) . . . . . . . . . . . . 59 do_post_ctpks_optimize (example) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 59 Post-CTPKS Optimization—Recommended Practices . . . . . . . . . . . . . . . . . . . . . . . . . . 60 Setup Fixing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 Hold-Time Fixing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 60 8 Global Routing and Post-Groute Optimizations . . . . . . . . . . . . . . . 61 Global Routing and Post-Groute Optimizations—Tasks . . . . . . . . . . . . . . . . . . . . . . . . . 62 Global Routing and Post Groute Optimizations—Inputs and Outputs . . . . . . . . . . . . . . . 62 Global Routing and Post Groute Optimizations—Run Script (do_groute) . . . . . . . . . . 63 do_groute (example) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 Fixing Hold-Time Violations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 9 Final (Detail) Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 Final Routing—Task . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 Full Routing with Search-and-Repair . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 Final Routing—Inputs and Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 Final Routing—Encapsulation Script (do_wroute) . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 do_wroute—Assumptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 69 do_wroute—Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 do_wroute—Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 do_wroute—Example Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 Synthesis Place-and-Route (SP&R) Flow Guide May 2001 6 Product Version 4.0.8 Final Routing—Recommended Practices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 10 Parasitic Extraction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 Parasitic Extraction—Task . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 73 Parasitic Extraction—Inputs and Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 74 Parasitic Extraction—Encapsulation Script (do_hyperextract) . . . . . . . . . . . . . . . . . 74 do_hyperextract—Assumptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 do_hyperextract—Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 75 do_hyperextract—Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 do_hyperextract—Example Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 Parasitic Extraction—Recommended Practices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 Generating A Cross-Coupling File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 76 11 Static Timing and In-Place Optimizations . . . . . . . . . . . . . . . . . . . . . 77 Static Timing and IPO—Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 Static Timing—Inputs and Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 78 Static Timing—Run Script (do_post_route_optimize) . . . . . . . . . . . . . . . . . . . . . . . 79 do_post_route_optimize (example) . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79 IPO—Inputs and Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 79 IPO—Encapsulation Script (do_post_route_eco) . . . . . . . . . . . . . . . . . . . . . . . . . . . 80 do_post_route_eco—Assumptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 do_post_route_eco—Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 do_post_route_eco—Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 81 do_post_route_eco—Example Usage . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 82 12 Verification . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 Verification—Tasks . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 Synthesis Place-and-Route (SP&R) Flow Guide May 2001 7 Product Version 4.0.8 A Complete Sample Run Script . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 B Documentation Sources . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 89 C A More Sophisticated Directory Structure . . . . . . . . . . . . . . . . . . . . . 93 D Inputting Floorplanning Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 Setting the Die Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 Automatically Calculating the Die Size . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 Automatic Growing Control . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 Supporting Floorplan Generation Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 99 Generating the Initial Floorplan from a DEF File . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 100 E Setting Appropriate PKS Controls for Synthesis, Placement, and Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 Setting the Appropriate PKS Controls . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 Timing-Driven Placement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 Optimization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 105 F CTPKS Constraint File Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 CTPKS Constraint File Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 107 CTPKS Clock Tree Structure File Example . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 CTPKS Commands . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 CTPKS Flow Diagram . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 109 Synthesis Place-and-Route (SP&R) Flow Guide May 2001 8 Product Version 4.0.8 G Post Clock Tree Optimization Constraints . . . . . . . . . . . . . . . . . . . . 111 Clock Insertion Delay . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111 H Performing Global Routing, In-Place Timing Corrections, and Post Groute Optimizations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 Performing Global Routing . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 Performing In-Place Timing Correction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 115 Performing Post Groute Optimizations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 116 I Final (Detail) Route Options and Commands . . . . . . . . . . . . . . . . 117 Setting the Options . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 Running Wroute in Standalone Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 117 Stopping Wroute in Standalone Mode . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118 Running Wroute from within the SE GUI . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 118 J Running HyperExtract in Standalone Mode . . . . . . . . . . . . . . . . . . 119 HyperExtract Inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 HyperExtract Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 119 Running HyperExtract . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120 dlcInitFile Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 120 K Pearl Commands used to Create an SDF . . . . . . . . . . . . . . . . . . . . 121 Creating An SDF With Pearl . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 Delay Calculation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 Delay Calculation—Run Script (do_delay_calc) . . . . . . . . . . . . . . . . . . . . . . . . . 122 do_delay_calc—Assumptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 Synthesis Place-and-Route (SP&R) Flow Guide May 2001 9 Product Version 4.0.8 do_delay_calc—Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 122 L GCF File to Load Timing Libraries . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123 example_gcf_file.gcf . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 123 M Command Syntax for Encapsulation Scripts . . . . . . . . . . . . . . . . . 125 The do_ctpks Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 Encapsulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 Prerequisites . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 125 Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 126 The do_wroute Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 Wroute Encapsulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 Required Inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 127 Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 128 Assumptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129 Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129 The do_hyperextract Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 129 HyperExtract Encapsulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 Required Inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 130 Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 Assumptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 131 Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132 The do_post_route_eco Command . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 132 Wroute Encapsulation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 Required Inputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 Outputs . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 Command Syntax . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 133 Assumptions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 Operation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 134 Synthesis Place-and-Route (SP&R) Flow Guide May 2001 10 Product Version 4.0.8 N Synopsys Conversion Utility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 135 [...]... b.TLF 4.3 flow compatibility begins to be supported in PKS 4.0.2/SE 5.3.116 May 2001 31 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide Getting Started May 2001 32 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide RTL Synthesis 3 RTL Synthesis This chapter describes how to perform the RTL Synthesis tasks as a component of the Cadence® synthesis place-and-route (SP&R). .. Connectivity Formal Verification 17 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide Introduction—RTL to GDSII May 2001 18 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide 2 Getting Started This chapter describes the initial requirements you must meet before getting started with the Cadence® synthesis place-and-route (SP&R) flow Initial Input Files Several input files and... Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide Introduction—RTL to GDSII Overall Flow and Associated Commands LEGEND PKS 3rd Party Synthesis Main Steps Commands RTL Synthesis Initial Area Estimation and Timing Driven Block Placement RTL Synthesis RTL Synthesis RTL Synthesis do_rtl SE Floorplanning Floorplanning Block Refinement Power Routing use SE interface Synthesis, Placement, Synthesis, ... Timing Analysis for Ambit BuildGates Synthesis and Cadence PKS s Test Synthesis for Ambit BuildGates Synthesis and Cadence PKS s HDL Modeling for Ambit BuildGates Synthesis s Distributed Processing of Ambit BuildGates Synthesis s Constraint Translator for Ambit BuildGates Synthesis and Cadence PKS May 2001 11 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide Preface Depending on the product... provides information about the command May 2001 14 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide 1 Introduction—RTL to GDSII This document describes the Cadence® synthesis place-and-route (SP&R) flow The SP&R flow integrates the Cadence physically knowledgeable synthesis (PKS) tool with the Silicon Ensemble™ place-and-route (SE) tool to take your complex deep sub-micron digital IC... Places all macro blocks 3 Perform synthesis and placement 4 Output a gate-level Verilog netlist along with a DEF containing the initial floorplanning information May 2001 33 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide RTL Synthesis Note: Scan synthesis is not covered in the main flow discussions in this document The Command Reference for Ambit BuildGates Synthesis and Cadence PKS describes.. .Synthesis Place-and-Route (SP&R) Flow Guide Preface This preface contains the following sections: s About This Manual on page 11 s Other Information Sources on page 11 s Syntax Conventions on page 12 s About the Graphical User Interface on page 13 About This Manual This document describes the Cadence® synthesis place-and-route (SP&R) flow The SP&R flow integrates the... and power design information timing_rtl.txt A timing report file May 2001 34 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide RTL Synthesis RTL Synthesis Run Script (do_rtl) Note: The commands shown below can be used as a basis for creating your own do_rtl run script do_rtl (example) set opt _flow “rtl” set design_root source ${design_root}/setup.tcl... demo_rtl.def timing_report.txt Results from the RTL Synthesis step demo.v demo.def (optional) May 2001 35 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow Guide RTL Synthesis RTL Synthesis Recommended Practices This section provides the recommended practices for RTL synthesis Creating a Separate floor.tcl File It is recommended that you create a separate TCL file called floor.tcl to load floorplan... knowledgeable synthesis (PKS) tool with the Silicon Ensemble™ place-and-route (SE) tool to take your complex deep sub-micron digital IC designs all the way from RTL to GDSII Other Information Sources For more information about Ambit BuildGates Synthesis and other related products, you can consult the sources listed here s Ambit BuildGates Synthesis User Guide s Command Reference for Ambit BuildGates Synthesis . Optimizations Synthesis Place-and-Route (SP&R) Flow Guide Introduction—RTL to GDSII May 2001 18 Product Version 4.0.8 Synthesis Place-and-Route (SP&R) Flow. BuildGates Synthesis ■ Distributed Processing of Ambit BuildGates Synthesis ■ Constraint Translator for Ambit BuildGates Synthesis and Cadence PKS Synthesis Place-and-Route

Ngày đăng: 12/12/2013, 23:15

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan