Tìm hiểu PLC s7 1200 kết hợp giao diện HMI ,thời gian thực thực hiện điều khiển giao thông thông minh(kết hợp PID+mờ+thời gian thực)

33 1.7K 11
Tìm hiểu  PLC s7 1200 kết hợp giao diện HMI ,thời gian thực  thực hiện  điều khiển  giao thông thông minh(kết hợp PID+mờ+thời gian thực)

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

PHIẾU ĐÁNH GIÁ SỐ Tên đề tài: Tìm hiểu PLC s7 1200 kết hợp giao diện HMI ,thời gian thực thực điều khiển giao thông thông minh(kết hợp PID+mờ+thời gian thực) Nhóm sinh viên thực hiện: N6 Nội dung đánh giá: Lớp-Khóa: TĐH3_K9 BÀI TẬP LỚN PLC S Ố T T GVHD: PHẠM THỊ HỒNG HẠNH THỰC HIỆN CÁC BƯỚC THỰC HIỆN (Ghi tóm tắt nội dung) Kế hoạc h Thực Sản phẩm đạt Mức độ hồn thành Ghi (15 tuần) Tìm hiểu sở lý thuyết Báo cáo Phân tích đối tượng điều khiển Báo cáo Báo cáo Phân tích tiêu, chất lượng hệ thống điều khiển Báo cáo Báo cáo Lựa chọn phương pháp điều khiển Báo cáo Báo cáo Lựa chọn thiết bị điều khiển, thiết bị vào, cấu chấp hành Báo cáo Sơ đồ nguyên lý Báo cáo 10 Báo cáo 11 Báo cáo 12 Báo cáo 13 mơ hình, mơ 14 mơ hình, mơ 15 Báo cáo Xây dựng thuật toán điều khiển Viết chương trình Lắp đặt thử nghiệm mơ 10 Nhận xét kết MỤC LỤC NHÓM Trang BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH LỜI NÓI ĐẦU Trong năm gần với phát triển kinh tế tốcđộ gia tăng không ngừng loại phương tiện giao thông Sự phát triển nhanh chóng phương tiện giao thơng dẫn đến tình trạng tắc nghẽn giao thơng xảy thường xuyên Hiện nay, tuyến đường thị nước ta sử dụng đèn tín hiệu để điều khiển giao thơng Hệ thống góp phần giải vấn nạn ùn tắc giao thông tuyến đường nâng cao ý thức chấp hành luật giao thông người dân, nâng cao mức độ văn minh đô thị Tuy nhiên, đa phần đèn đặt nút giao làm việc độc lập mà khơng có liên hệ lẫn hoạt động Việc tổ chức điều khiển giao thông trường hợp đơn giản làm cho dòng xe tuyến bị tổn thất thời gian lớn liên tục gặp phải đèn đỏ.Vấn đề đặt để đảm bảo giao thông thông suốt Việc giải vấn đề nêu nội dung yêu cầu Đồ án tốt nghiệp với tiêu đề: “ tìm hiểu plc s7-1200 kết hợp giao diện HMI , thời gian thực thực điều khiển đèn giao thông thông minh ( kết hợp PID+mờ+ thời gian thực) ” Nội dung tập lớn nghiên cứu hệ thống điều khiển tín hiệu đèn giao thơng ngã tư sử dụng PLC S7-1200 Bài tập lớn trình bày thành chương với nội dung chương tóm tắt sau: NHĨM Trang BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH Chương – Tổng quan hệ thống điều khiển phối hợp tín hiệu giao thông: Giới thiệu cách tổng quan đèn giao thơng, mơ hình hệ thống điều khiển tín hiệu đèn giao thông Chương – Giới thiệu tổng quan phần cứng , nhớ , cấu trúc chương trình giao diện lập trình PLC S7-1200: Giới thiệu điều khiển lập trình PLC S7-1200 Xây dựng thuật tốn chương trình điều khiển giao diện hình HMI Chương – Thiết kế giao diện HMI viết chương trình điều khiển giám sát cho hệ thống đèn giao thông: Tổng quan phần mềm điều khiển giám sát sử dụng đồ án bước thiết kế giao diện điều khiển giám sát cho hệ thống điều khiển tín hiệu đèn giao thông ngã tư Chương – Kết luận đánh giá kết quả: Đánh giá kết nghiên cứu lý thuyết so với thực tiễn Mặc dù cố gắng tìm hiểu, nghiên cứu để hoàn thành nội dung yêu cầu đặt ra, xong vốn kiến thức hạn hẹp khả kinh nghiệm thực tế nên khơng tránh khỏi thiếu sót Vì mong nhận bổ xung góp ý thầy cô bạn để Đồ án nhóm em hồn thiện NHĨM Trang BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH CHƯƠNG TỔNG QUAN VỀ HỆ THỐNG ĐIỀU KHIỂN TÍN HIỆU GIAO THÔNG 1.1 Khái quát chung hệ thống đèn tín hiệu giao thơng 1.1.1 Giới thiệu đèn tín hiệu giao thông Đèn giao thông thiết bị dùng để điều khiển giao thông giao lộ có lượng phương tiện lưu thơng lớn (thường ngã ba, ngã tư đông xe qua lại) Đây thiết bị quan trọng khơng an tồn cho phương tiện mà giúp giảm ùn tắc giao thơng vào cao điểm Nó lắp tâm giao lộ vỉa hè Đèn giao thơng hoạt động hoàn toàn tự động cảnh sát giao thơng điều khiển Hình 1-1 Hình ảnh thực tế hệ thống đèn giao thơng NHĨM Trang BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH Phân loại − Đèn dành cho xe cộ Loại màu có kiểu: xanh, vàng, đỏ Ý nghĩa màu đèn sau: + Đỏ: Khi gặp đèn đỏ, tất phương tiện lưu thông phải dừng lại phía trước + vạch dừng, người sang đường Xanh: Khi gặp đèn xanh, tất phương tiện phép phải ý Người không sang đường + Vàng: Đèn vàng dấu hiệu chuyển đổi tín hiệu + Đèn dành cho người Loại màu có hai màu xanh, đỏ Ý nghĩa màu đèn sau: + + Đỏ: Đèn đỏ có nghĩa "khơng sang đường" Xanh: Đèn xanh có nghĩa "được phép sang đường" − Đèn đếm lùi Đèn đếm lùi loại đèn lắp đặt bổ sung bên cạnh đèn tín hiệu Đèn đếm lùi hiển thị số đếm ngược với màu sắc khác Khi đèn đếm đến "0" chuyển màu đèn 1.1.2 Cấu tạo ngun tắc hoạt động đèn tín hiệu giao thơng 1.1.2.1 Cấu tạo Hình 1-2 Mơ hình hệ thống đèn giao thơng ngã tư NHĨM Trang BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH Hệ thống đèn giao thông đèn điều khiển giao thơng gồm hai cột đèn lắp đặt hai đầu hai đường khác ngã tư Mỗi cột đèn gồm đèn đèn gồm: đèn xanh, đèn vàng đèn đỏ; đèn phụ đèn dùng điều khiển đường dành cho người bộ: đèn xanh người đèn đỏ người 1.1.2.2 Nguyên tắc hoạt động Đèn giao thông thường hoạt động ngày, đến (12 đêm) chuyển sang trạng thái nháy vàng ngừng hoạt động Khi nháy vàng, xe cộ phải ý người phép sang đường Đến sáng ngày hơm sau đèn lại hoạt động bình thường trở lại Hình 1-3 Giản đồ thời gian đèn tín hiệu giao thơng hoạt động chế độ bình thường 1.1.3 Quy trình điêu khiển đèn tín hiệu giao thơng Đèn giao thông phải bật màu riêng biệt, đèn tắt bật đèn lên, không bật nhiều màu lúc Giữa chiều đường, chiều A bật đèn đỏ chiều B phải bật đèn xanh ngược lại Khi chuyển từ xanh-đỏ đỏ NHÓM Trang BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH xanh bắt buộc phải bật qua màu vàng, màu vàng đệm màu xanh đỏ Khi bật đèn vàng phải bật sáng chiều đường A B 1.1.4 Các phương pháp điều khiển tín hiệu đèn giao thông 1.1.4.1 Phương pháp điều khiển đèn giao thông IC số Với mạch dùng IC số có ưu điểm sau: − − − Giá thành rẻ Mạch đơn giản dễ thực Tổn hao công suất bé, mạch dùng pin acquy Tuy nhiên sử dụng kỹ thuật số khó khăn việc thay đổi chương trình Muốn thay đổi chương trình buộc ta phải thay đổi phần cứng Do lần phải lắp lại mạch dẫn đến tốn kinh tế mà nhiều yêu cầu khơng thực nhờ phương pháp Với phát triển mạnh mẽ ngành kỹ thuật số đặc biệt cho đời họ vi xử lý, vi điều khiển hay PLC giải bế tắc kinh tế mà phương pháp dùng IC số kết nối lại không thực 1.1.4.2 Phương pháp điều khiển đèn giao thông với PLC Với phương pháp sử dụng PLC có ưu diểm sau: − − Lập trình đơn giản, độ tin cậy cao Chức điều khiển thay đổi dễ dàng thiết bị lập trình (máy tính, hình) mà khơng cần thay đổi phần cứng khơng có u cầu thêm bớt thiết bị nhập xuất − Thời gian hồn thành chu trình điều khiển nhanh Tuy nhiên phương pháp có nhiều ưu điểm vi xử lý việc áp dụng hệ thống nhỏ khơng thích hợp giá thành cao 1.2 Mơ hình hệ thống điều khiển tín hiệu đèn giao thông Hiện với phát triển công nghệ thông tin đặc biệt phát triển mạng internet hệ thống điều khiển tín hiệu giao thông trở nên đại nhiều: − Có khả lựa chọn theo khoảng thời gian ngày tay − Chế độ ưu tiên, tùy theo nhu cầu giao thông thực tế − Hệ thống điều khiển có giao diện vận hành tiện lợi dựa giao diện người sử dụng đồ họa Dưới sơ đồ khối hệ thống điều khiển tín hiệu đèn giao thơng: NHĨM ĐÈN GIAO BỘ ĐIỀU KHIỂN THƠNG MÁY TÍNH PLC Trang BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH Hình 1-4 Mơ hình hệ thống điều khiển tín hiệu đèn giao thơng − Máy tính: Trung tâm điều phối, cung cấp giao diện người dùng trực quan cho việc điều khiển giám sát hệ thông − PLC (Programable Logic Controler): Là thiết bị điều khiển logic lập trình được, PLC nhận thông tin từ cảm biến xử lý thơng tin theo thuật tốn định dồng thời truyền lại kết xuống cấu chấp hành PLC cung cấp thông tin đến trung tâm điều phối − Ethernet switch: Mở rộng kết nối thiết bị PLC máy tính thơng qua mạng Ethernet CHƯƠNG GIỚI THIỆU TỔNG QUAN VỀ PHẦN CỨNG ,BỘ NHỚ, CẤU TRÚC CHƯƠNG TRÌNH VÀ GIAO DIỆN LẬP TRÌNH TRÊN PLC S7-1200 2.1 Bộ điều khiển lập trình PLC S7-1200 PLC S7-1200 điều khiển logic khả trình hãng Siemens - Đức, đời vào năm 2009 Sự đời PLC S7-1200 đãdần thay cho dòng PLC cũ S7-200 NHĨM Trang BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH So với S7-200 PLC S7-1200 có nhiều tính trội hơn, PLC S7-1200 mang lại tính linh hoạt sức mạnh để điều khiển nhiều thiết bị đa dạng hỗ trợ yêu cầu điều khiển tự động Sự kết hợp thiết kế thu gọn, cấu hình linh hoạt tập lệnh mạnh mẽ khiến cho S7-1200 trở thành giải pháp hoàn hảo dành cho việc điều khiển nhiều ứng dụng đa dạng khác Kết hợp vi xử lý, nguồn tích hợp, mạch ngõ vào mạch ngõ kết cấu thu gọn, CPU S7-1200 tạo PLC mạnh mẽ Sau người dùng tải xuống chương trình, CPU chứa mạch logic yêu cầu đểgiám sát điều khiển thiết bị nằm ứng dụng CPU giám sát ngõ vào làm thay đổi ngõ theo logic chương trình người dùng, bao gồm hoạt động logic Boolean, việc đếm, định thì, phép tốn phức hợp việc truyền thơng với thiết bị thơng minh khác Một số tính bảo mật giúp bảo vệ việc truy xuất đến CPU chương trình điều khiển: − Mỗi CPU cung cấp bảo vệ mật cho phép người dùng cấu hình việc truy xuất đến chức CPU − Người dùng sử dụng chức “know-how protection” để ẩn mã nằm khối xác định PLC S7-1200 bao gồm dòng CPU 1211C, 1212C, 1214C 1215C Các module CPU khác hình dạng, chức năng, tốc độ xử lý lệnh, nhớ chương trình…và chúng tích hợp sẵn cổng Profinet, hỗ trợ chuẩn Ethernet TCP/IP Bảng 2-1 Thông số đặc điểm kỹ thuật CPU 1211C/ 1212C/ 1214C Chức CPU 1211C CPU 1212C CPU 1214C Kích thước vật lý(mm) 90 x 100 x 75 110 x 100 x75 − Bộ nhớ làm việc − 25 kB − 50 kB − Bộ nhớ nạp − MB − MB − Bộ nhớ giữ lại − kB − kB Bộ nhớ người dùng: NHÓM Trang 10 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH − SIMATIC WinCC Professional V13: Lập trình giao diện HMI IPC − SIMATIC Start Driver V13: Cấu hình biến tần Siemens Phần mềm STEP Basic cung cấp môi trường thân thiện cho người dùng nhằm phát triển, chỉnh sửa giám sát mạng logic yêu cầu để điều khiển ứng dụng, bao gồm công cụ dành cho quản lý cấu hình tất thiết bị đề án, thiết bị PLC hay HMI STEP Basic cung cấp hai ngơn ngữ lập trình (LAD FBD) để thuận tiện có hiệu việc phát triển chương trình điều khiển ứng dụng, cung cấp công cụ để tạo cấu hình thiết bị HMI đề án người dùng Để giúp người dùng tìm thơng tin cần thiết, STEP Basic cung cấp hệ thống trợ giúp trực tuyến 2.2.1.3 Ngơn ngữ lập trình PLC S7-1200 Với dòng sản phẩm PLC S7-1200 ứng dụng cho hệ thống nhỏ vừa, Siemens phát triển ưu tiên hỗ trợ cho ngơn ngữ lập trình: − Lập trình hình thang (LAD – Ladder Logic) − Lập trình khối hàm (FBD – Funtion Block Diagram) − Lập trình theo dạng text (SCL - Structured Control Language) Ngơn ngữ LAD: Là ngơn ngữ lập trình dựa theo sơ đồ mạch relay Sử dụng phần tử để biểu diễn lệnh logic Ưu điểm đơn giản, dễ hiểu, dễ chỉnh sửa tiện lợi − Tiếp điểm: Mô tả tiếp điểm thường dùng mạch relay, tốn hạng tiếp điểm dùng ttrong chương trình bit Có hai lạo tiếp điểm: + Tiếp điểm thường đóng: + Tiếp điểm thường mở: − − Cuộn dây: Mô tả cuộn dây relay, toán hạng sử dụng bit Khối hộp: Là biểu tượng mô tả hàm khác nhau, làm việc có tín hiệu ích đến Những hàm thường biểu diễn khối hộp hàm tạo trễ (Timer), hàm đếm (Counter) hàm tốn học khác NHĨM Trang 19 BÀI TẬP LỚN PLC − GVHD: PHẠM THỊ HỒNG HẠNH Mạng LAD: Là đường nối phần tử thành mạch hoàn chỉnh Thơng thường tín hiệu điện phải từ dây nóng qua thiết bị đến dây trung tính sau nguồn, nhiên phần mềm lập trình thể dây nóng bên trái đường nối đến thiết bị từ Ngơn ngữ FBD: Đây ngơn ngữ lập trình dựa theo đại số Boolean Sử dụng khối hàm mô lệnh khối làm việc mạch số Các phần tử phương pháp khối lệnh liên kết với Ngôn ngữ SCL: − Đây ngơn ngữ ập trình theo dạng text, ngơn ngữ lập trình cấp cao sử dụng dựa Pascal phát triển − Ngơn ngữ lập trình SCL coi ngơn ngữ hướng đối tượng cho PLC, gần gũi với tư người dùng Lưu ý : Khi viết code cho khối hàm (OB, FB, FC) người dùng sử dụng ngơn ngữ để lập trình 2.3 Giới thiệu hình hmi • Kích thước hình: định thơng tin cần hiển thị lúc HMI • Dung lượng nhớ chương trình, nhớ liệu, Flash liệu: định số lượng tối đa biến số, số lượng Screen dung lượng lưu trữ thông tin như: history data, Recipe, hình ảnh, backup… • Số lượng phím phím cảm ứng hình: khả mở rộng thao tác vận hành • Chuẩn truyền thơng, giao thức hỗ trợ • Số lượng đối tượng, hàm lệnh mà HMI hỗ trợ • Các cổng mở rộng: Printer, USB, CF card, SD card… 2.3.1 Quy trình xây dựng hệ thống HMI: a Lựa chọn phần cứng: • Lựa chọn kích thước hình: sở số lượng thông số/thông tin cảm biến hiển thị đồng thời nhu cầu đồ thị, đồ họa(lưu trình cơng nghệ…) • Lựa chọn số phím cứng, số phím cảm ứng tối đa sử dụng lúc • Lựa chọn cổng mở rộng có nhu cầu in ấn, đọc mã vạch, kết nối thiết bị ngoại vi khác NHÓM Trang 20 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH • Lựa chọn dung lượng nhớ: theo số lượng thông số cần thu thập số liệu, lưu trữ liệu, số lượng trang hình cần hiển thị b Xây dựng giao diện: • Cấu hình phần cứng: chọn phần cứng ( Model), thiết bị kết nối ( PLC ), chuẩn giao thức… • Xây dựng trang hình screen • Gán biến số (tag) cho đối tượng • Sử dụng đối tượng đặc biệt • Viết chương trình script (tùy chọn) • Mơ gỡ rối chương trình • Nạp thiết bị xuống HMI NHĨM Trang 21 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH CHƯƠNG 3: THIẾT KẾ GIAO DIỆN HMI VÀ VIẾT CHƯƠNG TRÌNH ĐIỀU KHIỂN GIÁM SÁT CHO HỆ THỐNG ĐÈN GIAO THÔNG 3.1 Thiết lập định thời gian thực RD_LOC_T Bước 1: Khởi tạo khối liệu DB1 Program blocks Add new block Data block, đặt tên chọ kiểu (type) Global BD, chọn OK để hoàn tất việc khởi tạo Đặt tên khai báo , giá trị khởi đầu cho biến sử dụng khối liệu DB Date_time Hình 3-1:Khởi tạo khối liệu DB1 Bước 2: Gọi khối chức RD_LOC_T Instruction NHÓM Extended instruction RD_LOC_T Trang 22 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH Hình 3-2: Gọi khối chức RD_LOC_T 3.1.1Cập nhật thời gian thực plc Bước 1: Kết nối PLC với phần mềm Tia Portal Bước 2: Chọn online & diagnostic Function Set time Hình 3-3: cập nhật thời gian thực Bước 3: Cài đặt thời gian bắt đầu hoạt động cho PLC chon Apply NHÓM Trang 23 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH 3.2 Viết chương trình đèn giao thông 3.2.1 Bảng địa -Xác định đầu vào gắn địa cho chúng PLC tag Default tag tble Hình 3-4: Gắn địa 3.2.2 Thuật tốn: - Chế độ cao điểm: - NHÓM Chế độ thấp điểm: Trang 24 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH 3.2.2 Viết chương trình ngơn ngơn ngữ LAD NHĨM Trang 25 BÀI TẬP LỚN PLC NHÓM GVHD: PHẠM THỊ HỒNG HẠNH Trang 26 BÀI TẬP LỚN PLC NHÓM GVHD: PHẠM THỊ HỒNG HẠNH Trang 27 BÀI TẬP LỚN PLC 3.3 GVHD: PHẠM THỊ HỒNG HẠNH Thiết kế giao diện hmi NHÓM Trang 28 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH 3.3.1 Cấu hình Cấu hình phần cứng hình HMI , chúng em chọn hình KTP1000 Basic có kích thước 10 inch, hình cảm ứng ,có phím chức cổng PROFINET Chọn Add new device HMI simatic basic panel 10’’display KTP1000 Basic Tạo hình : Project tree screens HMI Screens Add new 3.3.2 Thiết kế 3.3.2.1 Thiết kế nút bấm Bước 1: Tạo nút bấm hình ta chọn: NHÓM Trang 29 BÀI TẬP LỚN PLC toolbox elements GVHD: PHẠM THỊ HỒNG HẠNH button Bước 2: Đặt tên , thay đổi kích thước nút bấm, gắn địa cho nút bấm , tạo màu cho nút bấm : General Text : thay đổi tên( chữ) Appearance Background (thay đổi màu nút bấm) Appearance text (thay đổi màu chữ) 3.3.2.2 Thiết kế đèn hình Làm gần giống với thiết kế nút toolbox basic objects NHÓM circle (ở chúng em chọn đèn hình tròn) Trang 30 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH 3.3.2.3 Gắn địa cho đèn nút bấm Gắn địa cho nút bấm đèn để ta điều khiển thấy trực quan chương trình hoạt động : 3.4 Kết hình HMI: -Chế độ cao điểm: NHĨM Trang 31 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH -Chế độ thấp điểm: NHÓM Trang 32 BÀI TẬP LỚN PLC GVHD: PHẠM THỊ HỒNG HẠNH CHƯƠNG : KẾT LUẬN VÀ ĐÁNH GIÁ KẾT QUẢ Trong trình làm đồ án, chúng em nghiên cứu tìm hiểu số tài liệu sẵn có, tài liệu mạng internet hướng dẫn bảo giáo viên hướng dẫn nên chúng em thu số kết định: - Biết cách trình bày, kết cấu đồ án mơn học - Hiểu quy trình cơng nghệ hệ thống điều khiển tín hiệu đèn giao thông - Hiểu PLC S7-1200, biết cấu trúc cách đấu nối, cách lập trình ứng dụng PLC S7-1200 đưa phần mềm vào nội dung nghiên cứu - Tìm hiểu phầm mềm Tia portal - Thiết kế hệ thống điều khiển đèn giao thông đơn giản đáp ứng yêu cầu công nghệ Tuy nhiên, với thời gian có hạn với lực thân nên đồ án số hạn chế: - Màn hình HMI bố trí chưa cân đối thiết kế chưa đạt mĩ quan - Chương trình sau lập trình chưa tối ưu, hồn hảo - Tuy hệ thống điều khiển đèn giao thông ngã tư thiếu nhiều chức hiển thị thời gian đếm ngược, nhập thời gian từ hình Hướng phát triển đồ án: - Quan tâm đến tính thẩm mĩ giao diện Tìm hiều thêm cơng nghệ đại, ứng dụng để hệ thống hoàn thiện Mặc dù hồn thành xong khơng tránh khỏi thiếu sót Em mong nhận góp ý thầy ,cơ giáo,để đồ án mơn học hồn thiện Tài liệu tham khảo 1.Giáo trình PLC trường đại học công nghiệp Ha Nội Tài liệu mạng NHÓM Trang 33

Ngày đăng: 23/03/2018, 10:30

Từ khóa liên quan

Mục lục

  • LỜI NÓI ĐẦU

  • CHƯƠNG 1. TỔNG QUAN VỀ HỆ THỐNG ĐIỀU KHIỂN TÍN HIỆU GIAO THÔNG

    • 1.1. Khái quát chung về hệ thống đèn tín hiệu giao thông

      • 1.1.1. Giới thiệu về đèn tín hiệu giao thông

      • 1.1.2. Cấu tạo và nguyên tắc hoạt động của đèn tín hiệu giao thông

      • 1.1.3. Quy trình điêu khiển đèn tín hiệu giao thông

      • 1.1.4. Các phương pháp điều khiển tín hiệu đèn giao thông

      • 1.2. Mô hình hệ thống điều khiển tín hiệu đèn giao thông

      • CHƯƠNG 2. GIỚI THIỆU TỔNG QUAN VỀ PHẦN CỨNG ,BỘ NHỚ, CẤU TRÚC CHƯƠNG TRÌNH VÀ GIAO DIỆN LẬP TRÌNH TRÊN PLC S7-1200

        • 2.1 Bộ điều khiển lập trình PLC S7-1200

Tài liệu cùng người dùng

Tài liệu liên quan