Thiết kế hệ thống số phkkhanh

11 144 0
Thiết kế hệ thống số phkkhanh

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Thiết kế hệ thống số phkkhanh tài liệu, giáo án, bài giảng , luận văn, luận án, đồ án, bài tập lớn về tất cả các lĩnh vự...

# # # # # # # # # # # # # Copyright (C) 1991-2010 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors Please refer to the applicable agreement for further details # Altera recommends that you not modify this file This # file is updated automatically by the Quartus II software # and any changes you make may be lost or overwritten set_global_assignment -name FAMILY "Cyclone II" set_global_assignment -name DEVICE EP2C70F896C6 set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS OFF -section_id eda_palace set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment PIN_E19 -to AUD_ADCDAT PIN_F19 -to AUD_ADCLRCK PIN_E17 -to AUD_BCLK PIN_F18 -to AUD_DACDAT PIN_G18 -to AUD_DACLRCK PIN_D17 -to AUD_XCK PIN_E1 -to LCD_DATA[0] PIN_E3 -to LCD_DATA[1] PIN_D2 -to LCD_DATA[2] PIN_D3 -to LCD_DATA[3] PIN_C1 -to LCD_DATA[4] PIN_C2 -to LCD_DATA[5] PIN_C3 -to LCD_DATA[6] PIN_B2 -to LCD_DATA[7] PIN_G3 -to LCD_BLON PIN_E2 -to LCD_EN PIN_F1 -to LCD_ON PIN_F2 -to LCD_RS PIN_F3 -to LCD_RW PIN_J18 -to I2C_SCLK PIN_H18 -to I2C_SDAT PIN_D23 -to VGA_R[0] PIN_E23 -to VGA_R[1] PIN_E22 -to VGA_R[2] PIN_D22 -to VGA_R[3] PIN_H21 -to VGA_R[4] PIN_G21 -to VGA_R[5] PIN_H20 -to VGA_R[6] PIN_F20 -to VGA_R[7] PIN_E20 -to VGA_R[8] PIN_G20 -to VGA_R[9] PIN_A10 -to VGA_G[0] PIN_B11 -to VGA_G[1] set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment PIN_A11 -to VGA_G[2] PIN_C12 -to VGA_G[3] PIN_B12 -to VGA_G[4] PIN_A12 -to VGA_G[5] PIN_C13 -to VGA_G[6] PIN_B13 -to VGA_G[7] PIN_B14 -to VGA_G[8] PIN_A14 -to VGA_G[9] PIN_B16 -to VGA_B[0] PIN_C16 -to VGA_B[1] PIN_A17 -to VGA_B[2] PIN_B17 -to VGA_B[3] PIN_C18 -to VGA_B[4] PIN_B18 -to VGA_B[5] PIN_B19 -to VGA_B[6] PIN_A19 -to VGA_B[7] PIN_C19 -to VGA_B[8] PIN_D19 -to VGA_B[9] PIN_C15 -to VGA_BLANK PIN_D24 -to VGA_CLK PIN_J19 -to VGA_HS PIN_H19 -to VGA_VS PIN_B15 -to VGA_SYNC PIN_G15 -to TD1_CLK27 PIN_A6 -to TD1_DATA[0] PIN_B6 -to TD1_DATA[1] PIN_A5 -to TD1_DATA[2] PIN_B5 -to TD1_DATA[3] PIN_B4 -to TD1_DATA[4] PIN_C4 -to TD1_DATA[5] PIN_A3 -to TD1_DATA[6] PIN_B3 -to TD1_DATA[7] PIN_E13 -to TD1_HS PIN_E14 -to TD1_VS PIN_D14 -to TD1_RST_N PIN_H15 -to TD2_CLK27 PIN_C10 -to TD2_DATA[0] PIN_A9 -to TD2_DATA[1] PIN_B9 -to TD2_DATA[2] PIN_C9 -to TD2_DATA[3] PIN_A8 -to TD2_DATA[4] PIN_B8 -to TD2_DATA[5] PIN_A7 -to TD2_DATA[6] PIN_B7 -to TD2_DATA[7] PIN_E15 -to TD2_HS PIN_D15 -to TD2_VS PIN_B10 -to TD2_RST_N PIN_R29 -to EXT_CLOCK PIN_E16 -to CLOCK_28 PIN_AD15 -to CLOCK_50 PIN_D16 -to CLOCK_50_2 PIN_R28 -to CLOCK_50_3 PIN_R3 -to CLOCK_50_4 PIN_A23 -to ENET_DQ[0] PIN_C22 -to ENET_DQ[1] PIN_B22 -to ENET_DQ[2] PIN_A22 -to ENET_DQ[3] set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment PIN_B21 -to ENET_DQ[4] PIN_A21 -to ENET_DQ[5] PIN_B20 -to ENET_DQ[6] PIN_A20 -to ENET_DQ[7] PIN_B26 -to ENET_DQ[8] PIN_A26 -to ENET_DQ[9] PIN_B25 -to ENET_DQ[10] PIN_A25 -to ENET_DQ[11] PIN_C24 -to ENET_DQ[12] PIN_B24 -to ENET_DQ[13] PIN_A24 -to ENET_DQ[14] PIN_B23 -to ENET_DQ[15] PIN_D27 -to ENET_CLK PIN_B27 -to ENET_CMD PIN_C28 -to ENET_CS_N PIN_C27 -to ENET_INT PIN_A28 -to ENET_RD_N PIN_B28 -to ENET_WR_N PIN_B29 -to ENET_RST_N PIN_W22 -to IRDA_RXD PIN_W21 -to IRDA_TXD PIN_G22 -to UART_CTS PIN_F23 -to UART_RTS PIN_D21 -to UART_RXD PIN_E21 -to UART_TXD PIN_AE8 -to HEX0[0] PIN_AF9 -to HEX0[1] PIN_AH9 -to HEX0[2] PIN_AD10 -to HEX0[3] PIN_AF10 -to HEX0[4] PIN_AD11 -to HEX0[5] PIN_AD12 -to HEX0[6] PIN_AF12 -to HEX0[7] PIN_AG13 -to HEX1[0] PIN_AE16 -to HEX1[1] PIN_AF16 -to HEX1[2] PIN_AG16 -to HEX1[3] PIN_AE17 -to HEX1[4] PIN_AF17 -to HEX1[5] PIN_AD17 -to HEX1[6] PIN_AC17 -to HEX1[7] PIN_AE7 -to HEX2[0] PIN_AF7 -to HEX2[1] PIN_AH5 -to HEX2[2] PIN_AG4 -to HEX2[3] PIN_AB18 -to HEX2[4] PIN_AB19 -to HEX2[5] PIN_AE19 -to HEX2[6] PIN_AC19 -to HEX2[7] PIN_P6 -to HEX3[0] PIN_P4 -to HEX3[1] PIN_N10 -to HEX3[2] PIN_N7 -to HEX3[3] PIN_M8 -to HEX3[4] PIN_M7 -to HEX3[5] PIN_M6 -to HEX3[6] PIN_M4 -to HEX3[7] set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment PIN_P1 -to HEX4[0] PIN_P2 -to HEX4[1] PIN_P3 -to HEX4[2] PIN_N2 -to HEX4[3] PIN_N3 -to HEX4[4] PIN_M1 -to HEX4[5] PIN_M2 -to HEX4[6] PIN_L6 -to HEX4[7] PIN_M3 -to HEX5[0] PIN_L1 -to HEX5[1] PIN_L2 -to HEX5[2] PIN_L3 -to HEX5[3] PIN_K1 -to HEX5[4] PIN_K4 -to HEX5[5] PIN_K5 -to HEX5[6] PIN_K6 -to HEX5[7] PIN_H6 -to HEX6[0] PIN_H4 -to HEX6[1] PIN_H7 -to HEX6[2] PIN_H8 -to HEX6[3] PIN_G4 -to HEX6[4] PIN_F4 -to HEX6[5] PIN_E4 -to HEX6[6] PIN_K2 -to HEX6[7] PIN_K3 -to HEX7[0] PIN_J1 -to HEX7[1] PIN_J2 -to HEX7[2] PIN_H1 -to HEX7[3] PIN_H2 -to HEX7[4] PIN_H3 -to HEX7[5] PIN_G1 -to HEX7[6] PIN_G2 -to HEX7[7] PIN_T25 -to GPIO_CLKIN_N0 PIN_AH14 -to GPIO_CLKIN_N1 PIN_T24 -to GPIO_CLKIN_P0 PIN_AG15 -to GPIO_CLKIN_P1 PIN_H23 -to GPIO_CLKOUT_N0 PIN_AF27 -to GPIO_CLKOUT_N1 PIN_G24 -to GPIO_CLKOUT_P0 PIN_AF28 -to GPIO_CLKOUT_P1 PIN_C30 -to GPIO_0[0] PIN_C29 -to GPIO_0[1] PIN_E28 -to GPIO_0[2] PIN_D29 -to GPIO_0[3] PIN_E27 -to GPIO_0[4] PIN_D28 -to GPIO_0[5] PIN_E29 -to GPIO_0[6] PIN_G25 -to GPIO_0[7] PIN_E30 -to GPIO_0[8] PIN_G26 -to GPIO_0[9] PIN_F29 -to GPIO_0[10] PIN_G29 -to GPIO_0[11] PIN_F30 -to GPIO_0[12] PIN_G30 -to GPIO_0[13] PIN_H29 -to GPIO_0[14] PIN_H30 -to GPIO_0[15] PIN_J29 -to GPIO_0[16] set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment PIN_H25 -to GPIO_0[17] PIN_J30 -to GPIO_0[18] PIN_H24 -to GPIO_0[19] PIN_J25 -to GPIO_0[20] PIN_K24 -to GPIO_0[21] PIN_J24 -to GPIO_0[22] PIN_K25 -to GPIO_0[23] PIN_L22 -to GPIO_0[24] PIN_M21 -to GPIO_0[25] PIN_L21 -to GPIO_0[26] PIN_M22 -to GPIO_0[27] PIN_N22 -to GPIO_0[28] PIN_N25 -to GPIO_0[29] PIN_N21 -to GPIO_0[30] PIN_N24 -to GPIO_0[31] PIN_G27 -to GPIO_1[0] PIN_G28 -to GPIO_1[1] PIN_H27 -to GPIO_1[2] PIN_L24 -to GPIO_1[3] PIN_H28 -to GPIO_1[4] PIN_L25 -to GPIO_1[5] PIN_K27 -to GPIO_1[6] PIN_L28 -to GPIO_1[7] PIN_K28 -to GPIO_1[8] PIN_L27 -to GPIO_1[9] PIN_K29 -to GPIO_1[10] PIN_M25 -to GPIO_1[11] PIN_K30 -to GPIO_1[12] PIN_M24 -to GPIO_1[13] PIN_L29 -to GPIO_1[14] PIN_L30 -to GPIO_1[15] PIN_P26 -to GPIO_1[16] PIN_P28 -to GPIO_1[17] PIN_P25 -to GPIO_1[18] PIN_P27 -to GPIO_1[19] PIN_M29 -to GPIO_1[20] PIN_R26 -to GPIO_1[21] PIN_M30 -to GPIO_1[22] PIN_R27 -to GPIO_1[23] PIN_P24 -to GPIO_1[24] PIN_N28 -to GPIO_1[25] PIN_P23 -to GPIO_1[26] PIN_N29 -to GPIO_1[27] PIN_R23 -to GPIO_1[28] PIN_P29 -to GPIO_1[29] PIN_R22 -to GPIO_1[30] PIN_P30 -to GPIO_1[31] PIN_W27 -to LEDG[0] PIN_W25 -to LEDG[1] PIN_W23 -to LEDG[2] PIN_Y27 -to LEDG[3] PIN_Y24 -to LEDG[4] PIN_Y23 -to LEDG[5] PIN_AA27 -to LEDG[6] PIN_AA24 -to LEDG[7] PIN_AC14 -to LEDG[8] PIN_AJ6 -to LEDR[0] set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment PIN_AK5 -to LEDR[1] PIN_AJ5 -to LEDR[2] PIN_AJ4 -to LEDR[3] PIN_AK3 -to LEDR[4] PIN_AH4 -to LEDR[5] PIN_AJ3 -to LEDR[6] PIN_AJ2 -to LEDR[7] PIN_AH3 -to LEDR[8] PIN_AD14 -to LEDR[9] PIN_AC13 -to LEDR[10] PIN_AB13 -to LEDR[11] PIN_AC12 -to LEDR[12] PIN_AB12 -to LEDR[13] PIN_AC11 -to LEDR[14] PIN_AD9 -to LEDR[15] PIN_AD8 -to LEDR[16] PIN_AJ7 -to LEDR[17] PIN_T29 -to KEY[0] PIN_T28 -to KEY[1] PIN_U30 -to KEY[2] PIN_U29 -to KEY[3] PIN_AA23 -to SW[0] PIN_AB26 -to SW[1] PIN_AB25 -to SW[2] PIN_AC27 -to SW[3] PIN_AC26 -to SW[4] PIN_AC24 -to SW[5] PIN_AC23 -to SW[6] PIN_AD25 -to SW[7] PIN_AD24 -to SW[8] PIN_AE27 -to SW[9] PIN_W5 -to SW[10] PIN_V10 -to SW[11] PIN_U9 -to SW[12] PIN_T9 -to SW[13] PIN_L5 -to SW[14] PIN_L4 -to SW[15] PIN_L7 -to SW[16] PIN_L8 -to SW[17] PIN_F24 -to PS2_KBCLK PIN_E24 -to PS2_KBDAT PIN_D26 -to PS2_MSCLK PIN_D25 -to PS2_MSDAT PIN_E9 -to OTG_ADDR[0] PIN_D8 -to OTG_ADDR[1] PIN_H10 -to OTG_DQ[0] PIN_G9 -to OTG_DQ[1] PIN_G11 -to OTG_DQ[2] PIN_F11 -to OTG_DQ[3] PIN_J12 -to OTG_DQ[4] PIN_H12 -to OTG_DQ[5] PIN_H13 -to OTG_DQ[6] PIN_G13 -to OTG_DQ[7] PIN_D4 -to OTG_DQ[8] PIN_D5 -to OTG_DQ[9] PIN_D6 -to OTG_DQ[10] PIN_E7 -to OTG_DQ[11] set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment PIN_D7 -to OTG_DQ[12] PIN_E8 -to OTG_DQ[13] PIN_D9 -to OTG_DQ[14] PIN_G10 -to OTG_DQ[15] PIN_E10 -to OTG_CS_N PIN_D12 -to OTG_DACK0_N PIN_E12 -to OTG_DACK1_N PIN_G12 -to OTG_DREQ0 PIN_F12 -to OTG_DREQ1 PIN_F7 -to OTG_FSPEED PIN_F8 -to OTG_LSPEED PIN_F13 -to OTG_INT0 PIN_J13 -to OTG_INT1 PIN_D10 -to OTG_RD_N PIN_E11 -to OTG_WR_N PIN_H14 -to OTG_RST_N PIN_AF24 -to FL_ADDR[0] PIN_AG24 -to FL_ADDR[1] PIN_AE23 -to FL_ADDR[2] PIN_AG23 -to FL_ADDR[3] PIN_AF23 -to FL_ADDR[4] PIN_AG22 -to FL_ADDR[5] PIN_AH22 -to FL_ADDR[6] PIN_AF22 -to FL_ADDR[7] PIN_AH27 -to FL_ADDR[8] PIN_AJ27 -to FL_ADDR[9] PIN_AH26 -to FL_ADDR[10] PIN_AJ26 -to FL_ADDR[11] PIN_AK26 -to FL_ADDR[12] PIN_AJ25 -to FL_ADDR[13] PIN_AK25 -to FL_ADDR[14] PIN_AH24 -to FL_ADDR[15] PIN_AG25 -to FL_ADDR[16] PIN_AF21 -to FL_ADDR[17] PIN_AD21 -to FL_ADDR[18] PIN_AK28 -to FL_ADDR[19] PIN_AJ28 -to FL_ADDR[20] PIN_AE20 -to FL_ADDR[21] PIN_AF29 -to FL_DQ[0] PIN_AE28 -to FL_DQ[1] PIN_AE30 -to FL_DQ[2] PIN_AD30 -to FL_DQ[3] PIN_AC29 -to FL_DQ[4] PIN_AB29 -to FL_DQ[5] PIN_AA29 -to FL_DQ[6] PIN_Y28 -to FL_DQ[7] PIN_AF30 -to FL_DQ[8] PIN_AE29 -to FL_DQ[9] PIN_AD29 -to FL_DQ[10] PIN_AC28 -to FL_DQ[11] PIN_AC30 -to FL_DQ[12] PIN_AB30 -to FL_DQ[13] PIN_AA30 -to FL_DQ[14] PIN_AE24 -to FL_DQ[15] PIN_Y29 -to FL_BYTE_N PIN_AG28 -to FL_CE_N PIN_AG29 -to FL_OE_N set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_instance_assignment set_instance_assignment set_instance_assignment set_instance_assignment set_instance_assignment set_instance_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment PIN_AH28 -to FL_RST_N PIN_AH30 -to FL_RY_N PIN_AJ29 -to FL_WE_N PIN_AH29 -to FL_WP_N PIN_T26 -to SD_CLK PIN_W28 -to SD_CMD PIN_W29 -to SD_DAT PIN_Y30 -to SD_DAT3 -name TCO_REQUIREMENT "10 -name TCO_REQUIREMENT "10 -name TCO_REQUIREMENT "10 -name TSU_REQUIREMENT "10 -name TSU_REQUIREMENT "10 -name TSU_REQUIREMENT "10 PIN_AC1 -to DRAM_DQ[0] PIN_AC2 -to DRAM_DQ[1] PIN_AC3 -to DRAM_DQ[2] PIN_AD1 -to DRAM_DQ[3] PIN_AD2 -to DRAM_DQ[4] PIN_AD3 -to DRAM_DQ[5] PIN_AE1 -to DRAM_DQ[6] PIN_AE2 -to DRAM_DQ[7] PIN_AE3 -to DRAM_DQ[8] PIN_AF1 -to DRAM_DQ[9] PIN_AF2 -to DRAM_DQ[10] PIN_AF3 -to DRAM_DQ[11] PIN_AG2 -to DRAM_DQ[12] PIN_AG3 -to DRAM_DQ[13] PIN_AH1 -to DRAM_DQ[14] PIN_AH2 -to DRAM_DQ[15] PIN_U1 -to DRAM_DQ[16] PIN_U2 -to DRAM_DQ[17] PIN_U3 -to DRAM_DQ[18] PIN_V2 -to DRAM_DQ[19] PIN_V3 -to DRAM_DQ[20] PIN_W1 -to DRAM_DQ[21] PIN_W2 -to DRAM_DQ[22] PIN_W3 -to DRAM_DQ[23] PIN_Y1 -to DRAM_DQ[24] PIN_Y2 -to DRAM_DQ[25] PIN_Y3 -to DRAM_DQ[26] PIN_AA1 -to DRAM_DQ[27] PIN_AA2 -to DRAM_DQ[28] PIN_AA3 -to DRAM_DQ[29] PIN_AB1 -to DRAM_DQ[30] PIN_AB2 -to DRAM_DQ[31] PIN_AA4 -to DRAM0_ADDR[0] PIN_AA5 -to DRAM0_ADDR[1] PIN_AA6 -to DRAM0_ADDR[2] PIN_AB5 -to DRAM0_ADDR[3] PIN_AB7 -to DRAM0_ADDR[4] PIN_AC4 -to DRAM0_ADDR[5] PIN_AC5 -to DRAM0_ADDR[6] PIN_AC6 -to DRAM0_ADDR[7] PIN_AD4 -to DRAM0_ADDR[8] PIN_AC7 -to DRAM0_ADDR[9] PIN_Y8 -to DRAM0_ADDR[10] ns" ns" ns" ns" ns" ns" -from -from -from -from -from -from * * * * * * -to -to -to -to -to -to SD_CLK SD_CMD SD_DAT SD_CLK SD_CMD SD_DAT set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment PIN_AE4 -to DRAM0_ADDR[11] PIN_AF4 -to DRAM0_ADDR[12] PIN_AA9 -to DRAM0_BA_0 PIN_AA10 -to DRAM0_BA_1 PIN_W10 -to DRAM0_CAS_N PIN_AA8 -to DRAM0_CKE PIN_AD6 -to DRAM0_CLK PIN_Y10 -to DRAM0_CS_N PIN_V9 -to DRAM0_LDQM PIN_AB6 -to DRAM0_UDQM PIN_Y9 -to DRAM0_RAS_N PIN_W9 -to DRAM0_WE_N PIN_T5 -to DRAM1_ADDR[0] PIN_T6 -to DRAM1_ADDR[1] PIN_U4 -to DRAM1_ADDR[2] PIN_U6 -to DRAM1_ADDR[3] PIN_U7 -to DRAM1_ADDR[4] PIN_V7 -to DRAM1_ADDR[5] PIN_V8 -to DRAM1_ADDR[6] PIN_W4 -to DRAM1_ADDR[7] PIN_W7 -to DRAM1_ADDR[8] PIN_W8 -to DRAM1_ADDR[9] PIN_T4 -to DRAM1_ADDR[10] PIN_Y4 -to DRAM1_ADDR[11] PIN_Y7 -to DRAM1_ADDR[12] PIN_T7 -to DRAM1_BA_0 PIN_T8 -to DRAM1_BA_1 PIN_N8 -to DRAM1_CAS_N PIN_L10 -to DRAM1_CKE PIN_G5 -to DRAM1_CLK PIN_P9 -to DRAM1_CS_N PIN_M10 -to DRAM1_LDQM PIN_U8 -to DRAM1_UDQM PIN_N9 -to DRAM1_RAS_N PIN_M9 -to DRAM1_WE_N PIN_AG8 -to SRAM_ADDR[0] PIN_AF8 -to SRAM_ADDR[1] PIN_AH7 -to SRAM_ADDR[2] PIN_AG7 -to SRAM_ADDR[3] PIN_AG6 -to SRAM_ADDR[4] PIN_AG5 -to SRAM_ADDR[5] PIN_AE12 -to SRAM_ADDR[6] PIN_AG12 -to SRAM_ADDR[7] PIN_AD13 -to SRAM_ADDR[8] PIN_AE13 -to SRAM_ADDR[9] PIN_AF14 -to SRAM_ADDR[10] PIN_AG14 -to SRAM_ADDR[11] PIN_AE15 -to SRAM_ADDR[12] PIN_AF15 -to SRAM_ADDR[13] PIN_AC16 -to SRAM_ADDR[14] PIN_AF20 -to SRAM_ADDR[15] PIN_AG20 -to SRAM_ADDR[16] PIN_AE11 -to SRAM_ADDR[17] PIN_AF11 -to SRAM_ADDR[18] PIN_AH10 -to SRAM_DQ[0] PIN_AJ10 -to SRAM_DQ[1] PIN_AK10 -to SRAM_DQ[2] set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_location_assignment set_global_assignment set_global_assignment set_global_assignment set_global_assignment set_global_assignment REGULAR IO" set_global_assignment STATED" PIN_AJ11 -to SRAM_DQ[3] PIN_AK11 -to SRAM_DQ[4] PIN_AH12 -to SRAM_DQ[5] PIN_AJ12 -to SRAM_DQ[6] PIN_AH16 -to SRAM_DQ[7] PIN_AK17 -to SRAM_DQ[8] PIN_AJ17 -to SRAM_DQ[9] PIN_AH17 -to SRAM_DQ[10] PIN_AJ18 -to SRAM_DQ[11] PIN_AH18 -to SRAM_DQ[12] PIN_AK19 -to SRAM_DQ[13] PIN_AJ19 -to SRAM_DQ[14] PIN_AK23 -to SRAM_DQ[15] PIN_AJ20 -to SRAM_DQ[16] PIN_AK21 -to SRAM_DQ[17] PIN_AJ21 -to SRAM_DQ[18] PIN_AK22 -to SRAM_DQ[19] PIN_AJ22 -to SRAM_DQ[20] PIN_AH15 -to SRAM_DQ[21] PIN_AJ15 -to SRAM_DQ[22] PIN_AJ16 -to SRAM_DQ[23] PIN_AK14 -to SRAM_DQ[24] PIN_AJ14 -to SRAM_DQ[25] PIN_AJ13 -to SRAM_DQ[26] PIN_AH13 -to SRAM_DQ[27] PIN_AK12 -to SRAM_DQ[28] PIN_AK7 -to SRAM_DQ[29] PIN_AJ8 -to SRAM_DQ[30] PIN_AK8 -to SRAM_DQ[31] PIN_AK9 -to SRAM_DPA[0] PIN_AJ23 -to SRAM_DPA[1] PIN_AK20 -to SRAM_DPA[2] PIN_AJ9 -to SRAM_DPA[3] PIN_AG17 -to SRAM_ADSC_N PIN_AC18 -to SRAM_ADSP_N PIN_AD16 -to SRAM_ADV_N PIN_AC21 -to SRAM_BE_N[0] PIN_AC20 -to SRAM_BE_N[1] PIN_AD20 -to SRAM_BE_N[2] PIN_AH20 -to SRAM_BE_N[3] PIN_AH19 -to SRAM_CE1_N PIN_AG19 -to SRAM_CE2 PIN_AD22 -to SRAM_CE3_N PIN_AD7 -to SRAM_CLK PIN_AG18 -to SRAM_GW_N PIN_AD18 -to SRAM_OE_N PIN_AF18 -to SRAM_WE_N -name -name -name -name -name PARTITION_NETLIST_TYPE SOURCE -section_id Top PARTITION_COLOR 14622752 -section_id Top LL_ROOT_REGION ON -section_id "Root Region" LL_MEMBER_STATE LOCKED -section_id "Root Region" CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "USE AS -name RESERVE_ASDO_AFTER_CONFIGURATION "AS INPUT TRI- set_instance_assignment set_instance_assignment set_instance_assignment set_instance_assignment set_instance_assignment I2C_SCLK set_instance_assignment I2C_SDAT -name -name -name -name -name FAST_INPUT_REGISTER ON -to * FAST_OUTPUT_REGISTER ON -to * TSU_REQUIREMENT "10 ns" -from * -to * CURRENT_STRENGTH_NEW "MINIMUM CURRENT" -to * CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to -name CURRENT_STRENGTH_NEW "MAXIMUM CURRENT" -to set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

Ngày đăng: 21/01/2018, 11:38

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan