Mô hình thác nước trong lập trình

103 462 0
Mô hình thác nước trong lập trình

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Wafer Process Overseas Design Support Center Shigeru Shimada October, 2007 ©2007 Renesas Technology Corp., All rights reserved For University Ver1.1 Contents of Wafer Process What is semiconductor? Why silicon is mot popular? N type and P type Silicon Why silicon wafer is round? Crystal growth technology What is MOS? Basic steps of LSI wafer process ©2007 Renesas Technology Corp., All rights reserved Contents of Wafer Process -continued Explanation of component process - Oxidation, Plasma, CVD, Sputtering, Lithography (Stepper and Scanner), Device Isolation, Dry Etching, Plasma ashing, Ion Implantation, Annealing, Ohmic contact, Barrier metal, and CMP) Modern CMOS Process 10 Reference ©2007 Renesas Technology Corp., All rights reserved What is a Semiconductor? Semiconductor A semiconductor is a material that behaves in between a conductor and an insulator At room temperature, it conducts electricity more Easily than an insulator, but less readily than a conductor At very low temperatures, pure or intrinsic semiconductors behave like insulators At higher temperatures though or under light, intrinsic semiconductors can become conductive The addition of impurities to a pure semiconductor can also increase its conductivity ©2007 Renesas Technology Corp., All rights reserved What is a Semiconductor? Semiconductor glass rubber ceramic germanium silicon gold cupper aluminum Insulator Semiconductor Conductor Resistivity 10 ohm・cm ©2007 Renesas Technology Corp., All rights reserved -4 10 ohm・cm Why Silicon is a most popular Semiconductor? Silicon exists everywhere High quality Oxide can be made on Silicon Raw material Raw material are silica stone and silica sand made from SiO2 It needs the electric power to make metal Silicon, so the countries where the electric power cost is low are the producing countries They used to be China, Brazil, Russia, South Africa and Norway, and very recently, Australia,Malaysia and Viet Nam are coming up Raw material Ỉ Metal Silicon Ỉ Poly Crystal siliconỈ Single Crystal Silicon(Eleven Nine:99.999999999%) Crystal pulling method : Czochralski (CZ) method, Floating Zone method ©2007 Renesas Technology Corp., All rights reserved Crystal structure of Silicon Silicon belongs to the cubic crystal system and has a diamond structure This is characterized by having each atom symmetrically surrounded by four equally spaced neighbors ©2007 Renesas Technology Corp., All rights reserved Crystal planes (100) plane (110) plane ©2007 Renesas Technology Corp., All rights reserved (111) plane Periodic Table Group III IV V - B C N 13 14 15 Al Si P 31 32 33 Ga Ge As ©2007 Renesas Technology Corp., All rights reserved - - - - - - + - - - N type and P type Silicon Silicon crystal is rarely used in the pure state Usually, some impurity called a dopant is added in small controlled amount If a boron atom is substituted for a silicon atom in the silicon lattice, the boron atom with only three of available electrons would be able to form bonds to only three of the four adjacent silicon atom and a hole would be formed 10 ©2007 Renesas Technology Corp., All rights reserved Aluminum is deposited on the wafer by sputtering Photoresist is spun on the wafer and Mask 13 is used to define the first level of metal The Al is then plasma etched Aluminum N P+ N Well P+ 89 ©2007 Renesas Technology Corp., All rights reserved P N+ P Well N+ The steps to form the second level of Al interconnect follow those in previous slides from P.84-89 Mask14 is used to define via holes between metal1 and metal2 Mask15 is used to define metal2 N P+ N Well P+ 90 ©2007 Renesas Technology Corp., All rights reserved P N+ P Well N+ The last step in the process is deposition of a final passivation layer, usually Si3N4 deposited by PECVD The last Mask16 is used to open holes in this layer over the bonding pads (not shown) N P+ N Well P+ 91 ©2007 Renesas Technology Corp., All rights reserved P N+ P Well N+ The advantage of Cu wiring The advantages of Cu wiring over Aluminum are as follows 1.Lower resistivity than Aluminum (Al:2.8u ohm cm, Cu:1.7u ohm cm) Can be thinner than Al with the same resistance, which reduces capacitance 2.Higher melting point and less electro-migration 3.Less stress-migration 92 ©2007 Renesas Technology Corp., All rights reserved The advantage of Cu wiring - Continued The disadvantages 1.Cu is one of the harmful elements to Si and SiO2 2.Cannot be deposited by CVD 3.Cannot be dry-etched 4.Weak cohesion to SiO2 TDDB lifetime is shorter than Al and W (TDDB:Time Dependence on Dielectric Breakdown ) Ỉ Damascene process is the best one for Cu 93 ©2007 Renesas Technology Corp., All rights reserved Metal1 formation - Damascene process Interlayer dielectric (IDL), insulator between metal is deposited IDL is polished Metal-1 Photo is done and IDL is etched Barrier metal (not shown) are deposited N P+ N Well P+ 94 ©2007 Renesas Technology Corp., All rights reserved P N+ P Well N+ Cu seed is sputtered, then plated to fill the recess Cu is polished back using CMP Metal N P+ N Well P+ 95 ©2007 Renesas Technology Corp., All rights reserved P N+ P Well N+ Metal2 formation - Dual Damascene process Insulator, Etching stopper and insulator between Metal1 and Metal2 are deposited Via1 is opened N P+ N Well P+ 96 ©2007 Renesas Technology Corp., All rights reserved P N+ P Well N+ Metal2 photo is done and insulator is etched N P+ N Well P+ 97 ©2007 Renesas Technology Corp., All rights reserved P N+ P Well N+ Barrier metal is deposited (not drawn) Cu (seed) is sputtered and plated to fill the recess Cu is polished back by using CMP Via and Metal recess formation is called Dual damascene process N P+ N Well P+ 98 ©2007 Renesas Technology Corp., All rights reserved P N+ P Well N+ Comparison of wiring structure 99 N P+ N Well P+ P N+ P Well N+ N P+ N Well P+ P N+ P Well N+ ©2007 Renesas Technology Corp., All rights reserved Complete Cross Section 100 ©2007 Renesas Technology Corp., All rights reserved Gate Gate Drain Source Drain Sub (N WELL) S G D N P+ N Well P+ P-MOS 101 ©2007 Renesas Technology Corp., All rights reserved Source Sub (P WELL) S G P N+ P Well N+ N-MOS D Reference SILICON VLSI TECHNOLOGY -Fundamentals, Practice and Modeling By J.D Plummer, M.D Deal and P.B Griffin Prentice Hall, 2000 102 ©2007 Renesas Technology Corp., All rights reserved ©2006 Renesas Technology Corp., All rights reserved ... molecules The free electron charges make the plasma electrically conductive so that it responds strongly to electromagnetic fields 22 ©2007 Renesas Technology Corp., All rights reserved Typical

Ngày đăng: 16/11/2017, 17:08

Từ khóa liên quan

Mục lục

  • Wafer Process

  • Contents of Wafer Process

  • Contents of Wafer Process -continued

  • What is a Semiconductor?

  • What is a Semiconductor?

  • Why Silicon is a most popular Semiconductor?

  • Crystal structure of Silicon

  • Crystal planes

  • Periodic Table

  • N type and P type Silicon

  • N type and P type Silicon - Continued

  • Slide Number 12

  • Slide Number 13

  • Properties of Silicon and Silicon Oxide

  • Why Silicon wafer is round?

  • Crystal Growth Technology

  • What is MOS?

  • Basic structure of MOS Transistor

  • Basic Steps of LSI wafer process

  • Basic Steps of LSI wafer process - Continued

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan