Hướng dẫn học FPGA bằng tiếng Việt

272 3.8K 104
Hướng dẫn học FPGA bằng tiếng Việt

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Nội dung: 1. Giởi thiệu tổng quan về FPGA. 2. Sách viết bằng tiếng Việt đầy đủ, dễ đọc, dễ hiểu.

1 Lời giới thiệu Khai thác, nghiên cứu cơ bản công nghệ mới là bớc không thể thiếu trong việc cải tiến, nâng cao, cũng nh chế tạo mới các trang thiết bị quân sự và dân sự nhằm đáp ứng việc hiện đại hoá công nghiệp hoá của đất nớc. Cùng với sự phát triển vợt bậc của nghành công nghệ thông tin, các công nghệ mới về các mạch tích hợp vi điện tử, các mạch tổ hợp logic lập trình đợc ra đời đã làm cho các sản phẩm quân sự cũng nh dân sự ngày càng hoàn thiện và u việt hơn. Để tiến một bớc xa hơn trong việc cải tiến, chế tạo khí tài quân sự nhằm đáp ứng chiến tranh điện tử hiện đại với tốc độ xử lý cực kỳ cao, đòi hỏi phải có công nghệ tiên tiến phù hợp với tình hình chung của thế giới. Trên cơ sở phát triển từ các chíp PLA, hiện nay công nghệ na nô đã đợc đa vào để chế tạo các mạch tích hợp lập trình đợc FPGA và CPLD, nó đã làm cho mạch tích hợp logic lên đến hàng chục triệu cổng, tốc độ đồng hồ lên đến 500 MHz. ứng dụng công nghệ mới vào trong thiết kế chế tạo các thiết bị điện tử lập trình PLIC là một bớc cần thiết cho tơng lai với một nớc đang phát triển nh Việt Nam. Để đáp ứng đợc tính bảo mật trong quân sự cũng nh tính phản ứng nhanh trong chiến tranh hiện đại cùng với nhu cầu chuyên dụng hoá, tối u hoá (thời gian, không gian, giá thành), tính chủ động trong công việc . ngày càng đòi hỏi khắt khe. Việc đa ra công nghệ mới trong lĩnh vực chế tạo mạch điện tử để đáp ứng những yêu cầu trên là hoàn toàn cấp thiết mang tính thực tế cao. 2 Công nghệ FPGA (Field Programmable Gate Array) và CPLD (Complex Programmable Logic Device) đã đợc các hãng lớn tập trung nghiên cứu và chế tạo, điển hình là Xilinx và Altera. Để làm chủ công nghệ mới và tổ chức thiết kế sản xuất công nghệ FPGA của Xilinx cho phép chúng ta tự thiết kế những vi mạch riêng, những bộ xử lý số riêng dành cho ứng dụng của chúng ta. Đặc biệt trong lĩnh vực xử lý tín hiệu số, các mạch tích hợp dùng để nhận dạng âm thanh, hình ảnh, cảm biến . với tính mềm dẻo cao và giá thành thấp. Mặc dù công nghệ FPGA đã xuất hiện từ năm 1985, xong đối với nớc ta thì nó vẫn còn rất mới. Do vậy tìm hiểu, làm chủ về công nghệ FPGA là việc làm hoàn toàn cần thiết. Nó không chỉ có ý nghĩa đối với các lĩnh vực Điện tử - Viễn thông, công nghệ thông tin . mà nó có ý nghĩa đặc biệt quan trọng trong lĩnh vực an ninh quốc phòng. Xuất phát từ thực tế đòi hỏi cấp bách đó, bộ môn Tự động và Kỹ thuật tính Khoa Kỹ thuật điều khiển Học Viện Kỹ thuật quân sự đã cho xuất bản cuốn sách Thiết kế thiết bị điện tử lập trình sử dụng công nghệ FPGA và CPLD, tài liệu này nằm trong loạt các tài liệu đã đợc bộ môn ấn hành, bao gồm Cấu trúc máy tính, Cờu trúc và lập trình cho các hệ xử lý tín hiệu số, cấu trúc và lập trình hệ vi điều khiển. Tài liệu giới thiệu phơng pháp thiết kế CPLD, FPGA cũng nh ngôn ngữ lập trình, từ đó đi sâu nghiên cứu các giải pháp có liên quan cũng nh các công cụ hỗ trợ thiết kế, sau đó áp dụng để thiết 3 kế, tích hợp vào loại CPLD và FPGA cụ thể . Tài liệu đợc chia thành 4 chơng: - Chơng 1: Giới thiệu tổng quan tổ chức phần cứng của ASIC. Giới thiệu tổng quan tổ chức các họ thiết bị cũng nh cấu trúc của chúng (tài liệu giới thiệu cấu trúc ASIC của hãng Xilinx). - Chơng 2: Giải pháp và tổ chức phần mềm đảm bảo. Giới thiệu các phần mềm hỗ trợ thiết kế, ngôn ngữ lập trình. - Chơng 3: Ngôn ngữ lập trình VHDL - Chơng 4: Thiết kế ứng dụng cơ bản. Chơng này đợc thực hiện với việc tích hợp các mạch điện tử trên cơ sở sử dụng ngôn ngữ VHDL, để thiết kế bộ điều khiển động cơ bớc trên hai họ thiết bị CPLD và FPGA. Cuốn sách đợc dùng làm giáo trình giảng dạy bậc đại học và sau đại học chuyên ngành điện, điện tử hoặc làm tài liệu tham khảo cho các nghiên cứu sinh và cho những ai quan tâm đến cấu trúc và lập trình ASIC. Cuốn sách đợc biên soạn bởi PGS. TS. Nguyễn Tăng Cờng và TS. Phan Quốc Thắng, ThS. Phạm Tuấn Hải, KS Lê Trọng Nghĩa, do PGS. TS. Nguyễn Tăng Cờng chủ biên. Nhân dịp này, tập thể tác giả xin bày tỏ lời cám ơn chân thành nhất đến những ngời đã có nhiều đóng góp trong quá trình hoàn thành tài liệu, đến các anh chị em Bộ môn Tự động và Kỹ thuật tính thuộc Khoa Kỹ thuật Điều khiển, Học viện Kỹ thuật Quân sự, đặc biệt phải kể đến sự hỗ trợ hiệu quả của TS. Đỗ Đình Nghĩa. Do kinh nghiệm và thời gian hạn chế, tài liệu này chắc chắn không thể tránh khỏi những thiếu sót. Rất mong nhận đợc các ý kiến đóng góp và xây dựng của bạn đọc gần xa. ý kiến đóng góp xin 4 gửi về địa chỉ: Bộ môn Tự động và Kỹ thuật tính, Khoa Kỹ thuật Điều khiển, Học viện Kỹ thuật Quân sự, 100 Hoàng Quốc Việt, Hà nội; Điện thoại (04)7542281, email: tcuong@hn.vnn.vn. Hà Nội, Ngày 1 tháng 10 năm 2005 Tập thể tác giả 5 Chơng 1 : Giới thiệu tổng quan tổ chức phần cứng của FPGA v CPLD 1.1 Giới thiệu công nghệ và giải pháp của Xilinx Vào cuối những năm 70, các bảng mạch đợc thiết kế sẵn cùng với các thiết bị chuẩn logic đợc a chuộng và thịnh hành . Sau đó một số câu hỏi đợc đa ra rằng " Điều gì sẽ xảy ra nếu chúng ta đa cho những ngời thiết kế khả năng thực hiện kết nối giữa các thiết bị chuẩn logic khác nhau trong một thiết bị lớn hơn ? ". Điều này cho phép những ngời thiết kế tích hợp đợc nhiều thiết bị chuẩn logic hơn vào trong một thiết bị. Để có đợc sự linh hoạt trong thiết kế, Ron Cline ngời của hãng SigneticsTM đã đa ra ý tởng bao gồm hai sơ đồ cho phép ngời thiết kế có thể lập trình đợc. Hai sơ đồ này cung cấp bất kỳ tổ hợp logic nào của các cổng "AND" và "OR" mà chúng có thể đợc dùng chung với một số giới hạn cổng "AND " thông qua các cổng "OR". Cấu trúc này đã trở nên rất mềm dẻo, nhng tại thời điểm đó lớp đệm hình học 10 àm đã tạo ra sự giữ chậm giữa đầu vào và đầu ra rất lớn, chính điều này đã làm 6 cho thiết bị hoạt động tơng đối chậm. Và cấu trúc này đợc gọi là cấu trúc của PLA (Programmable Logic Array). Hình 1.1. Cấu trúc của PLA (Programmable Logic Array) Hãng MMI (Sau đó bị mua bởi hãng AMD TM) đã hợp tác với hãng SigneticsTM và là nơi cung cấp nguồn tài liệu thứ hai cho hệ thống mảng logic lập trình PLA (Programmable Logic Array). Nhng sau khi sản xuất, cấu trúc này đã bị thay đổi và trở thành cấu trúc logic mảng lập trình đợc PAL (Programmable Array Logic), bởi việc cố định một mảng và chỉ cho phép lập trình trên một mảng còn lại. Cấu trúc PAL mới này rất khác với cấu trúc của PLA ở chỗ là một mảng lập trình đợc bị gắn cố định - mảng các cổng OR . Tuy nhiên, cấu trúc PAL (Programmable Array Logic) này cũng có lợi là thời gian giữ chậm đờng truyền từ đầu vào đến đầu ra ngắn hơn và phần mềm ít phức tạp hơn. Tuy nhiên chúng không mềm dẻo bằng cấu trúc PLA (Programmable Logic Array) . Các cấu trúc khác cũng đợc đa ra, chẳng hạn nh PLD (Programmable Logic Device) - thiết bị logic lập trình đợc. Loại thiết bị này thờng đợc gọi là thiết bị logic lập trình đợc đơn giản SPLD ( Simple 7 Programmable Logic Device) và tên này đợc gọi chung cho tất cả các thiết bị logic lập trình đợc nh : PALs, CPLDs, FPGAs. Cấu trúc của PAL (Programmable Array Logic) . Hình 1.2. Cấu trúc của PAL (Programmable Array Logic) Cấu trúc này có các mắt lới của các đờng nối theo chiều ngang và chiều đứng. Tại mỗi điểm giao nhau, chúng đợc nối với nhau bằng một cầu trì. Với sự trợ giúp của các công cụ phần mềm, ngời thiết kế có thể lựa chọn mối nối, mối nào không đợc nối thì cầu trì tại điểm đó sẽ bị huỷ đi (Bị nung nóng và thổi đứt). Điều này đợc thực hiện bởi một bộ nạp chơng trình. Theo hình 1.2 các chân đầu vào đợc nối vào các đờng theo chiều đứng, các đờng nằm ngang đợc nối với các cổng AND - OR, lần lợt các đờng này đợc nối với các Flip-Flop chuyên dụng (Chẳng hạn nh Flip-Flop loại D, T, RS). Các PLDs (Programmable Logic Device) trong một IC đóng gói đơn có số cổng nhiều hơn 50 lần các thiết bị logic chuyên biệt. Điều này nó đã thể hiện một sự tiến bộ rõ rệt, đấy là cha đề cập đến một số thiết bị cần phải đợc giản lợc hoá để có độ tin cậy cao hơn các thiết bị chuẩn logic . Công nghệ PLD đã phát triển từ những ngày còn rất sớm, chẳng 8 hạn nh công ty Xilinx, họ đã đa ra sản phẩm CMOS với nguồn tiêu thụ siêu thấp dựa trên công nghệ bộ nhớ flash. Các PLD flash cho phép khả năng lập trình và xoá bằng điện cho thiết bị nhiều lần đã trở nên thích hợp hơn so với các chíp thế hệ cũ, các loại chip mà với thời gian xoá chơng trình hơn 20 phút bằng tia cực tím . 1.1.1. Complex Programmable Logic Devices (CPLDs) Tạm dịch là các thiết bị logic cho phép lập trình phức hợp, họ thiết bị này là kết quả của việc tăng mật độ của họ SPLDs (Programmable Logic Device) lên nhiều lần. Khái niệm này đợc hiểu nh sau : tăng một số khối PLD hoặc các macrocell (xin đợc để nguyên nghĩa và giải thích ở phần cấu trúc của CPLD) ở trong một thiết bị đơn cùng với các đờng nối liền đa năng giữa chúng. Các đờng nối của các đơn vị logic đơn có thể đợc thực thi ở trong một khối đơn ( a single block ). Nhiều logic phức tạp yêu cầu cần nhiều khối và sử dụng các đờng nối đa năng giữa chúng để tạo nên các kết nối phức tạp hơn. Hình 1.3. Cấu trúc của CPLD 9 Các CPLDs rất thích hợp trong việc diễn tả các cổng logic phức tạp với tốc độ làm việc lớn hơn 200 Mhz ( tơng đơng 5 ns ). Khuôn mẫu thời gian cho CPLD rất dễ tính toán, bởi thế trớc khi bắt đầu thiết kế bạn có thể tính toán các tốc độ từ đầu vào đến đầu ra của mình dựa trên khuôn mẫu này. CPLDs đa ra cách đơn giản nhất để thực hiện một thiết kế, một thiết kế có thể đợc mô tả bởi các sơ đồ nguyên lý hoặc nhập vào một HDL ( Hardware Description Language - Ngôn ngữ mô tả phần cứng). Đơn giản khi sử dụng các công cụ phát triển để tối u hoá, nạp và mô phỏng thiết kế. Các công cụ thiết kế sẽ tạo ra một file mà file này (chính là một file chơng trình) đợc dùng để đa thêm các chuẩn logic vào trong một chip CPLD cùng với chức năng mong muốn. Chính vì vậy nó cung cấp một chuẩn phần cứng mà cho phép các quá trình xử lý, gỡ rối có thể thực hiện ngay từ khi bắt đầu công việc thiết kế. Giả sử nếu bạn cần có một một sự thay đổi về thiết kế, bạn có thể đa sự thay đổi thiết kế đó vào trong công cụ phát triển CPLD và thực thi trên nó, sau đó bạn có thể kiểm tra đợc tức thì ngay sau đó bằng một phần mềm mô phỏng. CPLD có mức tích hợp rất cao (có nghĩa là một số lợng lớn các cổng trên một diện tích) và đợc đóng gói trong một khuôn dạng rất nhỏ. Điều này đã đa ra một giải pháp tuyệt vời cho những ngời thiết kế cần sản phẩm của mình đợc đóng gói nhỏ gọn với diện tích bo mạch bị giới hạn về không gian. Họ Xilinx CoolRunner CPLDs luôn có mặt trong các đóng gói cùng với các chip đời mới. Chẳng hạn nh chip CP56 CPLD có khoảng cách các chân là 0,5 mm và với kích thớc bao nhỏ không đáng kể 10 6x6mm và điều này cho phép đa ra một sản phẩm nhỏ gọn cùng với mức độ tiêu thụ nguồn thấp . 1.1.2. Field Programmable Gate Arrays ( FPGAs) Mảng cổng cho phép lập trình đợc. Năm 1985, công ty Xilinx đã đa ra một ý tởng mới : Đó là sự kết hợp giữa những điều khiển ngời dùng, thời gian đa sản phẩm PLD (Programmable Logic Device) ra thị trờng cùng với mật độ tích hợp, giá của các ma trận cổng. Điều này đã cho ra đời thiết bị FPGA và cho đến nay Xilinx vẫn là nhà phân phối số 1 trên toàn thế giới về họ thiết bị này. Một FPGA có cấu trúc của các Logic Cell hoặc các Module và các đờng nối (Xem hình 1.4), các đờng nối này nằm dới sự điều khiển của ngời thiết kế. Có nghĩa là bạn có thể thiết kế, lập trình và thay đổi mạch của bạn bất cứ khi nào bạn muốn . Với họ FPGA ngày nay khả năng tích hợp của nó đã vợt qua giới hạn 10 triệu cổng ( Họ Xilinx VirtexTM- II và VirtexTM- 4 FPGA hiện đang giữ kỷ lục).Với sự giới thiệu của họ sản phẩm Spartan FPGA hiện nay, Xilinx có thể cạnh tranh về ma trận cổng ở mọi khía cạnh nh giá cả, số lợng cổng, số lợng vào ra cũng nh hiệu quả về giá thành. Giả sử lấy Spartan - IIE FPGA với số lợng 300.000 cổng làm chuẩn của giá thành, nó có thể cho phép thay thế các sản phẩm ứng dụng theo chuẩn chuyên dụng. Có hai loại FPGA cơ bản : Loại SRAM (Static Random Access Memory) có thể lập trình lại nhiều lần và loại OTP (One - Time Programmable) lập trình một lần. [...]... Chơng này đợc thực hiện với việc tích hợp các mạch điện tử trên cơ sở sử dụng ngôn ngữ VHDL, để thiết kế bộ điều khiển động cơ bớc trên hai họ thiết bị CPLD và FPGA. Cuốn sách đợc dùng làm giáo trình giảng dạy bậc đại học và sau đại học chuyên ngành điện, điện tử hoặc làm tài liệu tham khảo cho các nghiên cứu sinh và cho những ai quan tâm đến cấu trúc và lập trình ASIC. Cuốn sách đợc biên... Hình1.7. Sơ lợc các họ thiết bị của Xilinx 1.2.1. Họ Platform FPGAs Hình 1.7 cho ta thấy đợc tổng quan các họ sản phẩm chính cuả Xilinx. ở đây xin chỉ giới thiệu tổng quan và đa ra các địa chỉ cần tra cứu về chi tiÕt kü tht cđa chóng trªn trang Web cđa hÃng Xilinx. Họ Virtex FPGAs : Sản phẩm Virtex-II là hiện thân đầu tiên của Platform FPGA. Nó đà tạo ra mét ®iĨm dÊu míi trong sù thùc thi, céng... 4 gửi về địa chỉ: Bộ môn Tự động và Kỹ thuật tính, Khoa Kỹ thuật Điều khiển, Học viện Kỹ thuật Quân sự, 100 Hoàng Quốc Việt, Hà nội; Điện thoại (04)7542281, email: tcuong@hn.vnn.vn. Hà Nội, Ngày 1 tháng 10 năm 2005 Tập thể tác gi¶ 12 H×nh 1.5. CÊu tróc SRAM FPGA ( SRAM Logic Cell) - Lo¹i SRAM cã thĨ lËp trình lại : + SRAM xác định các đờng... triển thiết kế lên hay rút bớt. 11 H×nh 1.4. CÊu tróc cđa FPGA Hai loại này khác nhau ở chỗ thực hiện của các logic cell và kỹ thuật tạo sự kết nối giữa chúng trong thiết bị. Loại hay đợc dùng hơn cả là loại SRAM, vì nó có thể lập trình đợc nhiều lần. Thực tế thì SRAM FPGA đợc nạp cấu hình lại mỗi khi bật nguồn, bởi vì FPGA loại này thực chất là một chíp nhớ theo ý muốn. Có một câu hỏi... của chín đầu vào. Tơng tự, bộ dồn kênh F6 kết hợp các đầu ra của bốn bộ tạo chức năng trong CLB bằng việc chọn một trong hai đầu ra của bộ dồn kênh F5. Điều này cho phép thực thi bất kỳ một hàm 6 đầu vào nào, một bộ dồn kênh 8:1, hoặc chức năng đợc chọn lựa lên đến 19 đầu vào. Block RAM: Họ Spartan-IIE FPGA hợp nhÊt mét vµi bé nhí 48 m−ỵn, sè bé më réng chia sẻ, sự thay đổi số kênh định... XC9500 XL bổ sung mật độ cao hơn Xilinx FPGA để đa ra giải pháp logic tổng thể trong môi trờng phát triển tích hợp . Các đặc tính chính của họ này nh sau : * Điểm mạnh chính : +/ Giá thành thấp nhất trên mỗi Macrocell. +/ Cấu trúc khoá chân tiên tiến nhất hiện có . +/ Khả năng lập trình cao nhất, giảm sự rđi ro hƯ thèng +/ Bỉ sung cho hä Xilinx 3.3 V FPGA. * Sù thùc thi : +/ Tốc độ truyền... ngày càng hoàn thiện và u việt hơn. Để tiến một bớc xa hơn trong việc cải tiến, chế tạo khí tài quân sự nhằm đáp ứng chiến tranh ®iƯn tư hiƯn ®¹i víi tèc ®é xư lý cùc kỳ cao, đòi hỏi phải có công nghệ tiên tiến phù hợp với tình hình chung của thế giới. Trên cơ sở phát triển từ các chíp PLA, hiện nay công nghệ na nô đà đợc đa vào để chế tạo các mạch tích hợp lập trình đợc FPGA và CPLD, nó đà làm... với sự thực thi cao, các đặc tính mới, linh hoạt. Họ thiết bị này đa ra tốc độ dẫn đầu trong nền công nghiệp, nó cung cấp sự linh hoạt trong cấu trúc khoá chân với ngời dùng. Họ sản phẩm này đợc dùng cho các thiết kế cần tốc độ cao, giá thành thấp. - Họ CoolRunner : Họ thiết bị tiêu thụ nguồn cực kỳ thấp, tạo ra sự dẫn đầu trong thị trờng các thiết bị xách tay. Hoạt động trong chế độ chờ ë møc... cạnh của FPGA và đợc chia thành hai Bank chính (hình 1.11). Mỗi Bank có nhiều chân điên áp Vcco và tất cả chúng đều đợc nối tới cùng một đờng điện áp. Điện áp này đợc xác định bởi các chuẩn đầu ra ng−êi dïng. 37 H×nh 1.12 .CÊu tróc Logic Cell hay một Slice đơn trong Spartan -IIE - Các phần tử lu trữ trong slice của Spartan-IIE có thể đợc xem nh một Flip-Flop loại D kích hoạt bằng sờn,... và "OR" mà chúng có thể đợc dùng chung với một số giới hạn cổng "AND " thông qua các cổng "OR". Cấu trúc này đà trở nên rất mềm dẻo, nhng tại thời điểm đó lớp đệm hình học 10 àm đà tạo ra sự giữ chậm giữa đầu vào và đầu ra rất lớn, chính điều này ®· lµm 44 H×nh 1.19 CÊu tróc cđa một MacroCell Hình 1.20. Bộ dồn kênh chức năng VFM CÊu tróc MacroCell: H×nh . động cơ bớc trên hai họ thiết bị CPLD và FPGA. Cuốn sách đợc dùng làm giáo trình giảng dạy bậc đại học và sau đại học chuyên ngành điện, điện tử hoặc làm. Khoa Kỹ thuật điều khiển Học Viện Kỹ thuật quân sự đã cho xuất bản cuốn sách Thiết kế thiết bị điện tử lập trình sử dụng công nghệ FPGA và CPLD, tài liệu

Ngày đăng: 15/10/2012, 10:01

Hình ảnh liên quan

+ SRAM xỏc định đơn vị logic trong bảng LƯTI ( Look Ủp Table  )  - Hướng dẫn học FPGA bằng tiếng Việt

x.

ỏc định đơn vị logic trong bảng LƯTI ( Look Ủp Table ) Xem tại trang 12 của tài liệu.
Cỏc đặc tớnh và cụng dụng của chỳng được nờu trong bảng 1. 2: Bảng  1.2.  Cỏc  đặc  tớnh  chớnh  của  Spartan-3  - Hướng dẫn học FPGA bằng tiếng Việt

c.

đặc tớnh và cụng dụng của chỳng được nờu trong bảng 1. 2: Bảng 1.2. Cỏc đặc tớnh chớnh của Spartan-3 Xem tại trang 19 của tài liệu.
Bảng 1.4. Cỏc họ XC9500 XV và XC9500 XL: - Hướng dẫn học FPGA bằng tiếng Việt

Bảng 1.4..

Cỏc họ XC9500 XV và XC9500 XL: Xem tại trang 25 của tài liệu.
Bảng 1.6. Tổng quan họ CoolRunner: - Hướng dẫn học FPGA bằng tiếng Việt

Bảng 1.6..

Tổng quan họ CoolRunner: Xem tại trang 28 của tài liệu.

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan