Nghiên cứu và thiết kế khối VCO ứng dụng cho thiết bị thu của hệ thống GNSS

80 467 1
Nghiên cứu và thiết kế khối VCO ứng dụng cho thiết bị thu của hệ thống GNSS

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

MỤC LỤC LỜI CẢM ƠN DANH MỤC CÁC KÝ HIỆU VIẾT TẮT DANH MỤC CÁC BẢNG BIỂU DANH MỤC CÁC HÌNH VẼ CHƢƠNG - GIỚI THIỆU HỆ THỐNG ĐỊNH VỊ TOÀN CẦU 12 1.1 Giới thiệu chung .12 1.2 Hệ thống GPS 13 1.3 Hệ thống GLONASS 13 1.4 Hệ thống GALILEO .14 1.5 Sơ đồ khối máy thu GNSS 15 1.6 Khối dao động điều khiển điện áp VCO 16 1.7 Kết luận Chƣơng 16 CHƢƠNG II – CÁC CHỈ TIÊU KỸ THUẬT VÀ THÔNG SỐ CHÍNH CỦA KHỐI DAO ĐỘNG ĐIỀU KHIỂN BẰNG ĐIỆN ÁP VCO 17 2.1 Tìm hiểu lý thuyết VCO 17 2.1.1 Tần số dao động 18 2.1.2 Lý thuyết điện trở âm 19 2.1.3 Điều kiện để mạch dao động 20 2.1.4 Tạp âm pha 21 2.1.5 Hệ số phẩm chất cuộn cảm, tụ điện .24 2.1.5.1 Hệ số phẩm chất cuộn cảm .24 2.1.5.2 Hệ số phẩm chất tụ điện 25 2.1.6 Tần số trung tâm .25 2.1.7 Hệ số chất lƣợng mạch dao động (FOM: Fingure of Merit) 25 2.1.8 Độ nhạy điều khiển mạch dao động 26 2.1.9 Độ biến thiên độ nhạy điều khiển 27 2.1.10 Công suất tiêu thụ mạch dao động 27 2.1.11 Các mạch dao động 27 2.1.11.1 Mạch dao động LC 27 2.1.11.2 Mạch dao động ba điểm (Hartley Colpitts) 28 2.1.11.3 Mạch dao động vòng (Ring Oscillators) 31 2.1.11.4 Mạch dao động dùng cặp transistor đấu chéo .32 2.2 Phân tích vài mạch dao động VCO 33 2.2.1 Mạch VCO nhóm tác giả Peiming et al (bài báo 1) 33 2.2.1.1 Thiết kế mạch báo 33 2.2.1.2 Mô hình tạp âm pha sử dụng báo .33 2.2.1.3 Sơ đồ mạch chi tiết báo 34 2.2.1.4 Mô kết báo 35 2.2.2 Mạch VCO tác giả Marc Tiebout et al (bài báo 2) 37 2.2.2.1 Lý thuyết công suất tiêu thụ tạp âm pha thấp báo 37 2.2.2.2 Thiết kế mạch báo 38 2.2.2.3 Phần tổng hợp mô báo 40 2.3 Kết luận Chƣơng 42 CHƢƠNG III - THIẾT KẾ KHỐI VCO ỨNG DỤNG TRONG BỘ THU GNSS 44 3.1 Các tiêu thiết kế khối VCO 44 3.2 Chọn mạch LC-VCO 45 3.3 Thiết kế mô mạch LC-VCO lựa chọn 48 3.3.1 Lựa chọn thông số tụ có điện dung thay đổi (Varactor) 49 3.3.2 Lựa chọn thông số cuộn cảm 54 3.3.3 Thiết kế tối ƣu khối LC 59 3.3.4 Thiết kế mô mạch dao động LC-VCO hoàn chỉnh 61 3.3.4.1 Lựa chọn thông số Transitor mạch bù lƣợng .61 3.3.4.2 Phân tích, mô tối ƣu mạch hoàn chỉnh 64 3.3.4.3 Tối ƣu nguồn dòng 66 3.3.4.4 Tính hệ số KVCO 69 3.3.4.5 Tính công suất tiêu thụ toàn mạch 70 3.3.4.6 Tính tạp âm pha hệ thống 71 3.3.4.7 Tính hệ số chất lƣợng mạch 73 3.4 Kết cuối sau tối ƣu phần mạch dao động 73 3.5 Kết luận Chƣơng 76 KẾT LUẬN CHUNG VÀ ĐỊNH HƢỚNG PHÁT TRIỂN 79 TÀI LIỆU THAM KHẢO 80 LỜI CAM ĐOAN Tôi Phùng Ngọc Sơn, xin cam đoan công trình nghiên cứu riêng Các số liệu, kết nêu Luận văn trung thực chƣa đƣợc công bố công trình khác Hà nội, ngày tháng năm 2015 Phùng Ngọc Sơn LỜI CẢM ƠN Luận văn Thạc sỹ Kỹ thuật đƣợc nghiên cứu hoàn thành Viện Đào tạo sau Đại học thuộc Trƣờng Đại học Bách khoa Hà Nội Đầu tiên, xin chân thành cảm ơn TS Phạm Nguyễn Thanh Loan trực tiếp giảng dạy, hƣớng dẫn tạo điều kiện thuận lợi cho suốt trình nghiên cứu thực báo cáo Luận văn Cô thƣờng xuyên kiểm tra, động viên, khích lệ định hƣớng nghiên cứu nhƣ cử sinh viên giúp đỡ việc sử dụng, mô mạch phần mềm Cadence LAB để tô hoàn thành tốt Luận văn tốt nghiệp Trong trình học tập thực Luận văn Tốt nghiệp Thạc sỹ Đại học Bách khoa Hà Nội, xin chân thành cảm ơn Thầy, Cô Viện Đào tạo sau Đại học, Thầy Cô trực tiếp giảng dạy, giúp đỡ hoàn thành tốt Chƣơng trình học tập Luận văn Tốt nghiệp Đối với tôi, đề tài khó, thời gian thực hành nghiên cứu mạch không dài, việc sử dụng phần mềm mô hạn chế nên không tránh đƣợc thiếu sót, mong Thầy, Cô Bạn đóng góp ý kiến, giúp cho Luận văn đƣợc hoàn chỉnh Tôi xin gửi lời cảm ơn đến bạn học, đồng nghiệp tạo điều khiện giúp đỡ hoàn thành Luận văn Hà nội, ngày tháng năm 2015 Học Viên: Phùng Ngọc Sơn DANH MỤC CÁC KÝ HIỆU VIẾT TẮT Chữ viết tắt Chữ đầy đủ Ý nghĩa AGC Automatic Gain Controller Bộ khuếch đại tự động điều chỉnh hệ số khuếch đại A/D Analog to Digital Converter Bộ chuyển đổi tƣơng tự - số C C Điện dung CMOS Complementary Metal-Oxide Công nghệ bán dẫn Oxit bù Semiconductor kim loại FOM Figure of Merit Hệ số chất lƣợng Gm Gm Hỗ dẫn GNSS Global Navigation Satellite System Hệ thống vệ tinh định vị toàn cầu GPS Global Positioning System Hệ thống định vị IF Intermediate Frequence Tần số trung tần L L Độ tự cảm LNA Low-Noise Amplifier Bộ khuếch đại tạp âm thấp LO Local Oscillator Bộ dao động nội MOSFET Metal oxide semiconductor field- Transistor hiệu ứng trƣờng effect Transistor kênh cảm ứng Negative Metal Oxide Transistor hiệu ứng trƣờng Semiconductor loại N P Power Công suất Phase noise Phase noise Tạp âm pha PMOS Posistive Metal Oxide Transistor hiệu ứng trƣờng Semiconductor loại P Pnoise Periodic Noise Analysis Phân tích tạp âm theo chu kỳ PSS Periodic Steady State Analysis Chế độ phân tích trạng thái ổn NMOS định tuần hoàn Q Quality factor Hệ số phẩm chất RF Radio Frequence Tần số Radio Varactor Variable Capacitor Tụ điện biến dung Vbias Bias Voltage Điện áp phân cực nguồn dòng VCO Voltage Controlled Oscillator Khối dao động điều khiển điện áp Vcont Voltage Control Điện áp điều khiển VDC Voltage Dirrect current Điện áp1 chiều Vth Threshold voltage Điện áp ngƣỡng transistor DANH MỤC CÁC BẢNG BIỂU Bảng 2.1: Bảng tổng hợp tham số mạch báo 36 Bảng 2.1: Các thông số cần tối ƣu mạch LC 38 Bảng 3.1: Các thông số mạch dao động VCO 44 Bảng 3.2: Bảng so sánh ƣu nhƣợc điểm mạch dao động 46 Bảng 3.3: Bảng thông số Varactor 54 Bảng 3.4: Bảng thông số cuộn cảm 55 Bảng 3.5: Thông số cuộn cảm L sau mô 58 Bảng 3.6: Bảng mối quan hệ L, C, Q F 58 Bảng 3.7: Bảng mối quan hệ L, Rp, C, Q F 61 Bảng 3.8: Kích thƣớc transistor mạch 63 Bảng 3.9: Thông số cuộn cảm mạch hoàn chỉnh 65 Bảng 3.10: Thông số Varactor mạch hoàn chỉnh 65 Bảng 3.11: Thông số Varactor sau chỉnh Finger 66 Bảng 3.12: Thông số hình học L C sau điều chỉnh để đạt phase noise 72 Bảng 3.13: Bảng tổng hợp thông số Transistor 74 Bảng 3.14: Bảng thông số cuộn cảm L tụ có điện dung thay đổi C 74 Bảng 3.15: Bảng thông số hoạt động mạch 74 Bảng 3.16: Bảng mối quan hệ thông số mạch 76 Bảng 3.17: Bảng so sánh thông số mạch dao động 76 Bảng 3.18: So sánh tham số luận văn với báo báo 77 DANH MỤC CÁC HÌNH VẼ Hình 1.1: Các hệ thống định vị toàn cầu quỹ đạo chúng 12 Hình 1.2: Hệ thống định vị toàn cầu GPS 13 Hình 1.3: Hệ thống định vị toàn cầu GLONASS 14 Hình 1.4: Hệ thống định vị toàn cầu GALILEO 14 Hình 1.5: Sơ đồ khối máy thu GNSS 15 Hình 1.6: Sơ đồ nguyên lý mạch dao động VCO 16 Hình 2.1: Sơ đồ mạng hồi tiếp tuyến tính đơn giản 17 Hình 2.2: Điều kiện pha dao động 18 Hình 2.3: Sơ đồ mạch lựa chọn tần số 18 Hình 2.4: Mạch dao động RLC 18 Hình 2.5: Mô hình điện trở âm 19 Hình 2.6: Mạch tính toán điện trở âm 19 Hình 2.7: Mạch biểu diễn điện trở âm tƣơng dƣơng 20 Hình 2.8: Mạch dao động cân điện trở 20 Hình 2.9: Tín hiệu dao động có ảnh hƣởng tạp âm pha 22 Hình 2.10: Biểu diễn tạp âm pha miền tần số 22 Hình 2.11: Đặc tính điện áp – tần số (V-W) dao động VCO lý tƣởng 26 Hình 2.12: Sơ đồ mạch dao động LC tƣơng đƣơng 28 Hình 2.13: Sơ đồ dao động transistor đơn 29 Hình 2.14: Mạch đao động có chuyển đổi trở kháng 30 Hình 2.15: Mạch dao động điểm điện cảm (Hartley) 30 Hình 2.16: Mạch dao động điểm điện dung (Colpitts) 30 Hình 2.17: Mạch dao động vòng tầng 31 Hình 2.18: Mạch dao động crossed-coupled có nguồn dòng 32 Hình 2.19: Mạch dao động tạp âm thấp báo 34 Hình 2.20: Sơ đồ layout mạch tạp âm pha thấp báo 35 Hình 2.21: Đặc tính V-F mạch dao động VCO tạp âm thấp báo 36 Hình 2.22: Đặc tuyến tạp âm pha dao động báo 36 Hình 2.23: Sơ đồ mạch dao động tầng đơn 40 Hình 2.24: Sơ đồ mạch dao động cầu phƣơng 41 Hình 2.25: dải điều chỉnh điện áp dạng 3D 41 Hình 2.26: Đặc tính tạp âm pha mạch VCO báo 42 Hình 3.1: Sơ đồ mạch dao động VCO đấu chéo cặp 48 Hình 3.2: Các bƣớc thực thiết kế mạch LC-VCO 49 Hình 3.3: Sơ đồ mô đặc tính C-V Varactor 50 Hình 3.4: Đặc tính C-V mô đƣợc Vtune nối với cực G 51 Hình 3.5: Đặc tính V-C mô đƣợc Vtune nối với cực SD 52 Hình 3.6: Sự phụ thuộc kích thƣớc Varactor đến diện dung Cg 53 Hình 3.7: Sơ đồ mạch mô thông số cuộn cảm 54 Hình 3.8: Cuộn cảm hình xoắn vuông 55 Hình 3.9: Hệ số Q cuộn cảm vòng xoắn khác nhau, độ rộng 3U 56 Hình 3.10: Hệ số Q cuộn cảm vòng xoắn khác nhau, độ rộng 9U 56 Hình 3.11: Hệ số Q cuộn cảm vòng xoắn khác nhau, độ rộng 15U 57 Hình 3.12: Hệ số Q cuộn cảm vòng xoắn khác nhau, độ rộng 30U 57 Hình 3.13: Mạch mô khối LC 60 Hình 3.14: Dạng sóng trở kháng mạch LC) 60 Hình 3.15: Sơ đồ khối mạch dao động LC VCO 62 Hình 3.16: Mạch dao động LC-VCO hoàn chỉnh 63 Hình 3.17: Tần số dạng sóng dao động mạch 64 Hình 3.18: Dạng sóng dao động LC-VCO 66 Hình 3.19: Đƣờng đặc tính f-V mạch dao động LC-VCO 67 Hình 3.20: Dạng sóng dao động ứng với giá trị điện áp phân cực nguồn dòng khác 68 Hình 3.21: Đặc tính điện áp tần số Vbias = 2V 68 Hình 3.22: Đặc tuyến Vbias tần số dao động f 69 Hình 3.23: Đƣờng cong mô Kvco 70 10 Bảng 3.11: Thông số Varactor sau chỉnh Finger Kích thƣớc Giá trị Độ rộng finger 2.5u Chiều dài finger 2u Số finger 80 Multiplier C (pF) Vdc 1.79 Dạng sóng dao động cực G M1 nhƣ hình 3.18 Nhƣ sau điều chỉnh tần số dao động mạch thay đổi từ 1.32Ghz đến 1.71Ghz ứng với giá trị Vtune từ – 1.4V, sau thời gian độ, tần số dao động ổn định, nhƣ bƣớc đầu đạt đƣợc tiêu chí thiết kế Để tiếp tục tối ƣu thông số lại nhƣ công suất tiêu thụ, tạp âm pha, ta tối ƣu phần nguồn dòng Hình 3.18: Dạng sóng dao động LC-VCO 3.3.4.3 Tối ƣu nguồn dòng Lúc tần số dao động mạch khoảng từ 1.32GHz đến 1.71GHz vtune từ 1V đến -1 V Biên độ sóng đỉnh - đỉnh điện áp cực G giảm Finger tăng lên thành 1.2 - 0.54 = 0.66V so với ban đầu 0.6V Tiến hành phân tích 66 đặc tính điện áp tần số (f = F(V)) mạch dao động ta có dạng sóng nhƣ hình 3.19 sau Hình 3.19: Đường đặc tính f-V mạch dao động LC-VCO Qua quan sát hình 3.19 ta thấy dải điện áp điều chỉnh tuyến tính với tần số từ 0V-1.5V, tần số từ 1.35 GHz đến 1.75 GHz, dải xuất phần cong Để tìm ảnh hƣởng nguồn dòng với dải điều chỉnh dạng sóng ra, ta điều chỉnh điện áp phân cực cho nguồn dòng, tiến hành quét vbias từ đến V ta có dạng sóng dao động nhƣ hình 3.20 67 Hình 3.20: Dạng sóng dao động ứng với giá trị điện áp phân cực nguồn dòng khác Qua quan sát hình 3.20 ta thấy, vbias = V có dạng sóng có biên độ đỉnh-đỉnh lớn 1.22 – 0.375 = 0.845V giảm dần vbias giảm, phân tích lại đặc tính tần số điện áp điều chỉnh ta có dạng đồ nhƣ hình 3.21 Hình 3.21: Đặc tính điện áp tần số Vbias = 2V Đƣờng đặc tính f-V thẳng hơn, dải điều chỉnh điện áp đƣợc mở rộng từ -1V đến 1.4V, tƣơng ứng với tần số từ 1.72GHz đến 1.3GHz Tuy nhiên với vbias lớn dòng chạy mạch lớn, dẫn đến công suất tiêu thụ mạch dao động 68 LC-VCO lớn Để đạt đƣợc mức công suất nằm khoảng nhỏ 1mW, ta phải chọn giá trị vbias phù hợp Tuy nhiên mạch dao động thỏa mãn điều kiện dao động ban đầu dòng cung cấp mạch phải đủ, giá trị điện áp phân cực cho nguồn dòng Vbias làm cho mạch hoạt động mà có Vbias đủ lớn mạch dao động, nhƣng lớn để tối ƣu công suất tiêu thụ, hai yếu tố đánh đổi cho mạch LC-VCO mà ta phải lựa chọn để cân hai Tiến hành quét Vbias (từ 500mV đến 2V) để tìm đƣợc giá trị phù hợp nhất, ta có kết nhƣ hình 3.22 Từ đặc tuyến ta thấy Vbias tăng, nguồn dòng có giá trị I tăng, cung cấp thêm lƣợng cho mạch nên mạch dao động tần số cao hơn, đƣờng đặc tuyến f-Vbias có độ dốc xuống từ trái qua phải nghĩa Vbias tăng tần số dao động giảm ngƣợc lại Khi Vbias tăng, mạch tiêu thụ nhiều lƣợng Ta có kết nhƣ hình 3.22 Hình 3.22: Đặc tuyến Vbias tần số dao động f Với mạch có thông số hình học LC Transistor nhƣ ban đầu, ta thấy mạch dao động Vbias từ 0.7V trở lên Ta chọn Vbias thấp để có đƣợc công suất tiêu thụ thấp mà bảo toàn dải tần số yêu cầu mạch từ 1.35 GHz đến 1.75 GHz 3.3.4.4 Tính hệ số KVCO 69 KVCO đƣợc gọi độ nhạy điều khiển mạch dao động LC-VCO, nghĩa với KVCO lớn cần thay đổi nhỏ điện áp điều khiển tần số dao động thay đổi nhanh ngƣợc lại Còn với KVCO tham số đặc trƣng cho độ tuyến tính đặc tuyến tần số dao động điện áp điều khiển hay đặc trƣng cho mức độ thay đổi KVCO nghĩa KVCO số KVCO = Ta có KVCO đƣợc tính theo công thức out = 0 + Kvco.Vtune 0 đƣợc xác định tần số Vtune = Từ đặc tính f-V ta có đƣờng cong Kvco nhƣ sau: (3.1) Thay đổi giá trị Vtune ta có giá trị tần số dao động, thay vào công thức ta có đƣợc hình biểu diễn 3.23 2.70 2.84 3.00 3.18 3.38 3.60 3.86 4.08 4.42 4.82 5.30 5.78 6.38 7.14 8.00 9.20 10.50 12.33 14.50 19.00 19.00 21.50 25.67 27.75 30.80 33.17 34.86 35.75 36.00 35.60 34.55 33.42 31.92 30.29 28.73 27.19 25.76 24.39 23.16 22.05 0.00 K VCO - - - - - - - - - - 1 Vtune Hình 3.23: Đường cong mô Kvco Từ hình từ dải điều chỉnh hiệu Vtune từ đến 1.4Vdc tƣơng ứng với giá trị Kvco max = 36 Kvco = 19, ta có: Kvco = = 0.309 = 30.9 % 3.3.4.5 Tính công suất tiêu thụ toàn mạch Công suất tiêu thụ toàn mạch đƣợc tính nhƣ sau: Pdiss = RIpeak2 70 (3.2) Trong đó, Ipeak giá trị đỉnh đỉnh dòng điện chạy mạch, R điện trở tƣơng đƣơng toàn mạch bao gồm Rp khối dao động LC, R Transistor Thực nghiệm công suất tiêu thụ toàn mạch cadence ta có nhƣ hình 3.24 Hình 3.24: Mô công suất tiêu thụ toàn mạch Nhƣ Vbias = 0.7V, công suất tiêu thụ mạch 1.669 mW, mức công suất lớn đầu 1mW Ta giảm công suất xuống nhiên phải đánh đổi thông số khác mạch, mạch bắt đầu dao động Vbias = 0.7V trở lên nên việc giảm công suất tiêu thụ mạch xuống thấp 3.3.4.6 Tính tạp âm pha hệ thống Tạp âm pha hệ thống thƣờng tính mức thăng giáng dạng tín hiệu dBc/Hz độ lệch tần số so với tần số dao động trung tâm Để so sánh hệ thống mạch khác nhau, thông thƣờng lấy chuẩn f = 1Mhz Do tạp âm pha mạch (cụ thể luận văn mạch dao động LCVCO) phụ thuộc nhiều vào tỉ số L/C hệ số phẩm chất L C nên để có đƣợc tạp âm pha nhƣ yêu cầu thiết kế ta phải chọn giá trị LC phù hợp Ta tiến hành phân tích tạp âm pha hệ thống ta có đƣờng dốc nhƣ hình 3.25 71 Hình 3.25: Tạp âm pha mạch LC VCO Nhƣ tạp âm pha hệ thống f = 1Mhz -120dBc/Hz, tham số chƣa đạt yêu cầu đề ra, cần phải đƣợc tối ƣu thêm Để có đƣợc tạp âm pha đạt yêu cầu, nhƣ phân tích phía ta phải có đƣợc tỉ số L/C L/RP lớn Do C quy định dải điều chỉnh điện áp tần số dao động đầu mạch nên việc giảm C đồng nghĩa với giảm dải tần số dao động, điều không thỏa mãn điều kiện đầu nên ta giảm điện dung C đến ngƣỡng định để bảo toàn dải thông mạch Ta tiến hành điều chỉnh tăng L giảm C mức cho phép chấp nhận đƣợc để đạt đƣợc hệ số phase noise nhƣ yêu cầu nhƣng không làm méo dạng tín dao động đầu ra, Ta có thông số hình học C L nhƣ bảng 3.10 Bảng 3.12: Thông số hình học L C sau điều chỉnh để đạt phase noise L sprial_std fw round inner_rad multiplier Layer 2.157nH 15u 2.5 80 C mos_varcap_rf25 Wr lr Finger multiplier gr 1.99pF 2.5u 2u 85 72 Giá trị phase noise tối ƣu mạch đƣợc thể nhƣ hình 3.26 Hình 3.26: Giá trị tạp âm pha mạch sau điều chỉnh L, C Giá trị tạp âm pha mạch lúc -127.0 (dBc/Hz@1Mhz) Giá trị chƣa đạt đƣợc yêu cầu nhƣ so với mức -130 dBc/Hz@1Mhz tạp âm chung mạch LC bán dẫn mức mức chấp nhận đƣợc 3.3.4.7 Tính hệ số chất lƣợng mạch Hệ số chất lƣợng mạch đƣợc tính nhƣ công thức (2.25) FOM = L() – 20 log( + 10log(Pdiss) Trong đó: L(): hệ số tạp âm tần số lệch , lấy -127 dBc/Hz 1Mhz/ f0: Là tần số dao động mạch, lấy tần số trung tâm 1.55Ghz Pdiss: Là công suất tiêu thụ mạch Vậy ta có hệ số phẩm chất mạch đƣợc tính nhƣ sau Với Pdiss = 1.669 mW FOM = -127 – 20log( + 10log(1.669) = -188.58 dBc/Hz 3.4 Kết cuối sau tối ƣu phần mạch dao động 73 Sau phân tích tính toán tham số toàn mạch nhƣ ta có bảng tổng hợp kết nhƣ sau: Bảng thông số transistor dùng mạch Bảng 3.13: Bảng tổng hợp thông số Transistor Transistor Fw L Finger m Type Vai trò M0 2u 130n 50 M0 NMOS Gmn M1 2u 130n 50 M1 NMOS Gmn M2 3u 130n 100 M2 PMOS Gmp M4 3u 130n 100 M4 PMOS Gmp M3 2u 550n 15 M3 NMOS I_source Bảng thông số cuộn cảm L tụ có điện dung thay đổi C (chỉ linh kiện đơn) Bảng 3.14: Bảng thông số cuộn cảm L tụ có điện dung thay đổi C sprial_std fw round inner_rad Multiplier layer 2.157nH 15u 2.5 80 mos_varcap_rf25 Wr lr Finger multiplier gr 1.99pF 2.5u 2u 85 Bảng thông số hoạt động toàn mạch Bảng 3.15: Bảng thông số hoạt động mạch Thông số Kết Công nghệ (nm) 130nm Tần số trung tâm (Ghz) 1.555 Vdd (v) 1.2 Vctrl (v) -0.8->1.5V Phạm vi điều chỉnh tần số (Ghz) 1.3-1.75 Công suất (mw) 1.694 -> 1.699 Tạp âm dBc/Hz @1MHz -128.7 FOM (dBc) -188.58 Kvco (%) 30.6 74 Sơ đồ mạch dao động đƣợc vẽ Cadence nhƣ hình 3.27 OUT IN Hình 3.27: Sơ đồ mạch dao động LC-VCO hoàn thiện Dạng sóng dao động đầu đƣợc biểu diễn nhƣ hình 3.28 Hình 3.28: Dạng sóng dao động đầu 75 Dải tần số hoạt động biểu diễn nhƣ hình 3.29 Hình 3.29: Dải tần số hoạt động mạch LC-VCO sau tinh chỉnh 3.5 Kết luận Chƣơng Nhƣ Chƣơng bao gồm nội dung luận văn từ việc phân tích chung nhƣ lựa chọn mạch đến phân tích phần mạch cuối ghép vào mạch dao động hoàn chỉnh Với thông số hoạt động Bảng 3.15, ta cần phải đặt thứ tự ƣu tiên thông số trƣớc, thông số lại tùy chỉnh nhƣng phải đảm bảo thông số ƣu tiên giữ nguyên sai lệch không nhiều Trong Luận văn này, dải tần số hoạt động, công nghệ sử dụng đƣợc đặt ƣu tiên cao nên thông số khác tùy chỉnh phụ thuộc theo hai thông số Tổng kết lại phụ thuộc lẫn thông số mạch ta có bảng quan hệ 3.14 Bảng 3.16: Bảng mối quan hệ thông số mạch Vtune Vbias L C Q F Tạp âm pha FOM tăng - - giảm tăng tăng tăng Tăng - tăng - - - giảm giảm giảm Với kết phân tích ta có bảng so sánh với nhƣng mạch khác nhƣ bảng 3.15 Bảng 3.17: Bảng so sánh thông số mạch dao động Các tham số Thông số Bải báo 76 Bài báo Mạch So sánh với yêu cầu luận văn yêu cầu Công nghệ (nm) 130nm 180nm 250nm 130nm Đáp ứng Tần số (Ghz) 1.555 1.85 1.555 Đáp ứng Vdd (v) 1.2 2.5 1.2 Đáp ứng Vctrl (v) - 1-3 0-2.5 -0.8->1.5V - 1.35-1.77 - 1.71-1.99 1.3-1.75 Đáp ứng Công suất (mW) < 1mW 15 20 1.699 Chƣa đáp ứng Tạp âm dBc/Hz ≤ -157 -131.9 -143 -128.7 Chƣa đáp ứng Phạm vi điều chỉnh tần số (Ghz) @1MHz @3Mhz FOM (dBc) ≥ - 219 - -185.5 -188.58 Đáp ứng Kvco (%) ≤ 54 - - 30.6 Đáp ứng Từ bảng thấy mạch chƣa đáp ứng đƣợc mặt công suất tạp âm nhƣng so với báo có công suất mạch LC-VCO luận văn thấp nhiều (chỉ 1.7mW so với 15mW 20mW) Dải tần số dao động lớn (400Mhz so với 200Mhz 270 Mhz) Nhƣ nói mạch đƣợc thiết kế thành công có cải tiến công suất tiêu thụ nhƣ dải tần số dao động Bảng 3.18: So sánh tham số luận văn với báo báo Các tham số Bải báo Bài báo Mạch Kết luận mạch LC- luận văn VCO luận văn 180nm 250nm 130nm 130nm Tần số (Ghz) 1.85 1.555 - Vdd (v) 2.5 1.2 Thấp Vctrl (v) 1-3 0-2.5 -0.8->1.5V Dải điều chỉnh hẹp - 1.71-1.99 1.3-1.75 Phạm vi điều chỉnh tần số Công nghệ (nm) Phạm vi điều chỉnh rộng tần số (Ghz) Công suất (mW) 15 20 1.699 Công suất tiêu thụ thấp Tạp âm dBc/Hz -131.9 -143 -128.7 Tạp âm pha lớn nhƣng 77 @1MHz không nhiều @3Mhz FOM (dBc) - -185.5 -188.58 Tƣơng đƣơng Kvco (%) - - 30.6 - 78 KẾT LUẬN CHUNG VÀ ĐỊNH HƢỚNG PHÁT TRIỂN Luận văn đƣợc chia làm chƣơng đề cập đến nội dung thiết kế mạch dao động điều khiển điện áp ứng dụng cho thiết bị thu hệ thống GNSS (viết tắt VCO: Voltage Controled Oscillator) Với nội dung chủ yếu Chƣơng nhƣ giới thiệu hệ thống GNSS sơ đồ khối thu Chƣơng Chƣơng vào tìm hiểu thông số VCO phần giới thiệu hai mạch dao động đơn giản để so sánh, tìm mạch dao động đơn giản cho Chƣơng Phần phân tích thiết kế, mô khối VCO nội dung Chƣơng từ phân tích phần đến phan tích mạch hoàn chỉnh thấy kết mạch thiết kế Luận văn khả quan so sánh với mạch khác, với tính chất mạch đơn giản, dễ thực đối xứng, linh kiện nên chế tạo nhanh, công suất tiêu thụ thấp, dải biến thiên tần số rộng, ƣu điểm mạch Phần chƣa đạt đƣợc mạch công suất tiêu thụ tạp âm pha chƣa đạt đƣợc yêu cầu đề ra, nhiên ta đặt ƣu tiên cho dải tần hoạt động 400Mhz mạch nên kéo theo tham số khác bị giới hạn điều chỉnh Nhƣợc điểm Luận văn dừng lại lý thuyết tính toán mô thông thƣờng, mạch vật lý thực tế để kiểm nghiệm tính đắn tính toán mô Luận văn chƣa đƣa đƣợc layout chip cho mạch thời gian thực ngắn, thiết kế layout mạch đòi hỏi phải có kiến thức thực tế, quy định, quy chuẩn cho việc đặt linh kiện lên đế bán dẫn Từ nhận xét cho thấy hƣớng phát triển mạch thực layout kiểm nghiệm mạch vật lý, tối ƣu để giảm công suât tiêu thụ toàn mạch, nâng cao hệ số tạp âm pha Thực việc phân tích sâu ảnh hƣởng thông số kích thƣớc transistor đến chất lƣợng mạch, tiến đến giảm công suất công nghệ chip nhỏ cỡ vài chục nm 79 TÀI LIỆU THAM KHẢO [1] Behzad Razavi (2001), Design of Analog CMOS integrated circuit - International Edition, McGraw-Hill Company, New York [2] Moreau, Michael Christopher (2001), GPS Receiver Architecture for Autonomous Navigation in High Earth Orbits, A thesis submitted to the Faculty of the Graduate School of the University of Colorado in partial fulfillment of the requirements for the degree of Doctor of Philosophy Department of Aerospace Engineering Sciences [3] Behzad Razavi (2011), RF microelectronics - Second Edition, Pearson Education Inc, New York [4] Peiming et al (2009), "Design of A 2GHz Low Phase Noise LC VCO", Proceedings of the International MultiConference of Engineers and Computer Scientists 2009 Vol II IMECS 2009, March 18 - 20, 2009, Hong Kong [5] Chetan Shambhulinga Salimath (2006), Design of CMOS LC Voltage Controlled Oscillators, A Thesis submitted to the Graduate Faculty of the Louisiana State University and Agricultural and Mechanical College in partial fulfillment of the requirements for the degree of Master of Science in Electrical Engineering in The Department of Electrical and Computer Engineering, India [6] Marc Tiebout et al (2001), "Low-Power Low-Phase-Noise Differentially Tuned Quadrature VCO Design in Standard CMOS", IEEE Journal of Solid-State Circuits, Vol.36, No.7 80 [...]... giới là hệ thống GNSS 1.5 Sơ đồ khối máy thu GNSS GNSS đang dần đƣợc sử dụng để thay thế cho thu t ngữ GPS trên thế giới Các tổ chức, hội thảo, hội nghị về công nghệ định vị đã đổi dần sang sử dụng thu t ngữ GNSS thay vì GPS nhƣ xƣa Tuy nhiên trong suốt thời gian dài, GPS vẫn là lựa chọn của số đông ngƣời sử dụng và các nhà xản suất thiết bị trên thế giới do tính mở và tính hoàn thiện của các hệ thống. .. Mạch VCO của nhóm tác giả Peiming et al (bài báo 1) Mạch đƣợc nghiên cứu và thiết kế vào năm 2009 và đƣợc tóm tắt trong bài báo với tên gọi tiếng anh “Design of A 2GHz Low Phase Noise LC VCO [4] của nhóm tác giả Peiming, Shihen, Lianyi và Run Mạch đƣợc sử dụng trong các bộ tổng hợp tần số vòng khóa pha 2.2.1.1 Thiết kế mạch của bài báo 1 Nhóm tác giả đã đề xuất thiết kế gồm mạch dao động LC và hai khối. .. và tin cậy 99,7% 13 Hình 1.3: Hệ thống định vị toàn cầu GLONASS 1.4 Hệ thống GALILEO Cả hai hệ thống GPS và GLONASS hầu nhƣ đƣợc sử dụng chính cho mục đích quân sự Đối với những ngƣời sử dụng thông thƣờng có thể có sai số lớn nếu nhƣ cơ quan điều hành GPS và GLONASS kích hoạt bộ phận gây sai số chủ định Do vậy Liên minh Châu Âu (EU) đã lên kế hoạch thiết kế và điều hành hệ thống định mới mang tên của. .. đó, hệ số thứ nhất đại diện cho đóng góp của khối LC đến tạp âm pha, hệ số thứ hai là ảnh hƣởng của phần điện trở âm đối với tạp âm pha và hệ số cuối cùng là ảnh hƣởng của nguồn dòng phân cực đối với tạp âm pha Qua công thức tính F trên có thể thấy ảnh hƣởng của khối dao động LC và nguồn dòng đối với mức tạp âm pha của mạch nên khi ứng dụng vào thiết kế thực tế, ta phải lƣu ý và tối ƣu các phần mạch nhỏ... cấp lớn cộng với kết hợp với các hệ thống định vị khác (Galileo của EU), GPS đang có những cải thiện về khả năng tích hợp và độ chính xác của dữ liệu mà hệ thống này đang cung cấp Sơ đồ khối của bộ thu tín hiện GPS mặt đất [2] có dạng chung nhƣ hình sau Hình 1.5: Sơ đồ khối máy thu GNSS Các tín hiệu cao tần của các vệ tinh GPS thu từ anten đƣợc chuyển tới bộ tiền khuếch đại tạp âm thấp kết hợp, sau đó... cung cấp phần năng lƣợng tiêu hao trong khối LC, trong đó tần số đầu ra thay đổi tỉ lệ với điện dung của tụ C Trong mạch, tụ C bao gồm điện dung điều chỉnh, điện dung ký sinh của cuộn cảm, điện dung của MOSFET và điện dung của tải v.v Với mạch LC VCO mắc song song nhƣ vậy hệ số phẩm chất của khối LC phụ thu c chủ yếu vào hệ số phẩm chất của L Hệ số phẩm chất của khối LC là yếu tố quyết định mức tạp âm... điện dung của tụ điện cộng với điện dung của các thành phần ký sinh khác nhƣ của cuộn cảm, của phần tử tích cực, của tải đầu ra v.v Hệ số phẩm chất của mạch dao động LC mắc song song nhƣ hình 6 đƣợc tính bằng công thức: (2.31) Trong đó QL là hệ số phẩm chất của cuộn cảm, QC là hệ số phẩm chất của Tụ điện và đƣợc tính lần lƣợt nhƣ sau  ;  (2.32) Nhƣ vậy, hệ số phẩm chất của khối LC phụ thu c vào tần... Satellite System – Hệ thống vệ tinh quỹ đạo số 8), Ấn Độ (IRNSS – Indian Regional Navigational Satellite System) Hình 1.1: Các hệ thống định vị toàn cầu và quỹ đạo của chúng Các hệ thống định vị vệ tinh khác nhau thì dải tần hoạt động cũng khác nhau và ngày nay chúng đƣợc gọi với tên chung là GNSS (Global Navigation Satellite System - Hệ thống vệ tinh dẫn đƣờng toàn cầu) 12 1.2 Hệ thống GPS Là hệ thống định... loại thiết bị, từ thiết bị cầm tay cá nhân nhƣ smart phone, đồng hồ, kính mắt ảo cho đến các thiết di chuyển gồm cả quân sự và dân sự, phục vụ nhiều mục đích khác nhau nhƣ nghiên cứu, thám hiểm, đo đạc, vẽ bản đồ số và quốc phòng Các thiết bị định vị hoạt động dựa trên hệ thống định vị toàn cầu GPS (Global Positioning System) đƣợc Mỹ phát triển ban đầu dành cho mục đích quân sự, trải qua nhiều thế hệ. .. chính xác vị trí của máy thu GSP mặt đất thì tại một thời điểm máy thu phải thu đƣợc ít nhất tín hiệu từ 3 vệ tinh 1.3 Hệ thống GLONASS Là hệ thống định vị toàn cầu do Nga thiết kế và điều hành Hệ thống GLONASS bao gồm 30 vệ tinh chuyển động trong ba mặt phẳng quỹ đạo xung quanh trái đất với bán kính 25.510 km Hoạt động ở dải tần 1,2Ghz và 1,6Ghz bao gồm hai tín hiệu định vị chính xác (ch) và tín hiệu định

Ngày đăng: 25/11/2016, 00:06

Từ khóa liên quan

Mục lục

  • muc luc

  • loi cam doan

  • loi cam on

  • danh muc cac ky hieu viet tat

  • danh muc cac bang bieu

  • danh muc cac hinh ve

  • chuong 1

  • chuong 2

  • chuong 3

  • ket luan chung va dinh huong phat trien

  • tai lieu tham khao

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan