Thiết kế hệ thống số

17 446 0
Thiết kế hệ thống số

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Thiết kế hệ thống số MỤC LỤC -o0o- MỤC LỤC LỜI NÓI ĐẦU PHẦN 1: TÌM HIỂU VỀ ASIC I Giới thiệu công nghệ ASIC lịch sử phát triển : II.Các loại ASIC : .5 III.Luồng thiết kế ASIC PHẦN 2: TÌM HIỂU VỀ FPGA I.Giới thiệu FPGA: II Ý nghĩa vai trò FPGA III Lập trình cho FPGA IV Các công cụ lập trình 10 PHẦN 3: MÃ NGUỒN VHDL 11 ************************Solution 1***************************** .11 ************************Solution 2***************************** .14 NHẬN XÉT CỦA GIÁO VIÊN HƯỚNG DẪN .17 Điện tử – Khóa Đại học Công Nghiệp Hà Nội Thiết kế hệ thống số LỜI NÓI ĐẦU Các mạch tích hợp đại ngày thực nhiều chức mà vấn đề thiết kế mạch ngày trở nên phức tạp Trước thiết kế hệ thống số người ta thường sử dụng phương pháp truyền thống dung phương pháp tối thiểu hóa hàm Boolean hay sử dụng sơ đồ phần tử logic Nhược điểm lớn phương pháp chúng mô tả hệ thống dạng mạng nối phần tử với Người thiết kế cần phải qua hai bước thực hoàn toàn thủ công : chuyển từ yêu cầu chức hệ thống sang biểu diễn theo dạng hàm Boolean, sau bước tối thiểu hóa hàm ta lại phải chuyển từ sơ đồ hàm Boolean sang sơ đồ mạch hệ thống Cũng tương tự phân tích hệ thống người phân tích cần phải phân tích sơ đồ mạch hệ thống, chuyển thành hàm Boolean, sau lập lại chức hoạt động hệ thống Tất bước nói hoàn toàn phải thực thủ công chợ giúp máy tính Người thiết kế sử dụng máy tính làm công cụ việc vẽ sơ đồ mạch hệ thống chuyển từ sơ đồ mạch sang công cụ tổng hợp mạch vật lý dung công cụ Synthesis Một nhược điểm phương pháp thiết kế truyền thống giới hạn độ phức tạp hệ thống thiết kế, biểu diến vài trăm hàm Ngày công nghiệp bán dẫn phát triển trường thành nhanh chóng tạo IC có độ tích hợp cao Và để tối ưu hóa khả ứng dụng IC, nhà sản suất cho loại linh kiện đặc biệt mà chức người thiết kế quy định nhà sản xuất Đó thiết bị logic lập trình Đi đến đời ngôn ngữ mô tả phần cứng, bật lên với ưu điểm hẳn dần thay phương pháp thiết kế truyền thống, cho phép thiết kế hệ thống lớn, mạch tích hợp có tốc độ cao Để hiểu rõ vấn đề vào tìm hiểu ngôn ngữ mô tả phần cứng VHDL công nghệ ứng dụng thiết kế mạch sử dụng VHDL ********************************** Điện tử – Khóa Đại học Công Nghiệp Hà Nội Thiết kế hệ thống số PHẦN 1: TÌM HIỂU VỀ ASIC I Giới thiệu công nghệ ASIC lịch sử phát triển : ASIC viết tắt Application-Specific Integrated Circuit tiếng Anh, thuật ngữ vi mạch tích hợp sản xuất cho ứng dụng đặc trưng nhìn chung chúng có kích thước tương đối nhỏ ASIC vi mạch IC thiết kế dành cho ứng dụng cụ thể ASIC ngày ứng dụng khắp nơi, ví dụ vi xử lý điện thoại di động, hay chip xử lý máy móc tự động, phương tiện truyền thông, vận tải, tàu vũ trụ, hệ thống xử lý, dây chuyền công nghiệp thiết bị cầm tay PDA Công nghệ vi điện tử ngày chứng kiến thay đổi to lớn: từ vi mạch thiết kế chuyên gia vi mạch, sản xuất với số lượng lớn; chuyển sang mạch chuyên dụng thiết kế kỹ sư hệ thống sở ứng dụng, không thiết phải đầu tư sở vật chất để làm công nghệ bán dẫn sản xuất với số lượng nhỏ Nhờ công nghệ ASIC (Application-specific Integrated Circuit) nên mạch tổ hợp lớn nhiều trường hợp “chế tạo” sở ứng dụng Có thay đổi nhờ việc sử dụng hệ thống tự động thiết kế CAD (Computer-aided Design) Hiện nay, mạch vi điện tử chuyên dụng có nhu cầu thị trường cao, chiếm khoảng 42% thị trường IC giới, năm tới chiếm khoảng 65% ASIC vi mạch thiết kế dành cho ứng dụng đặc biệt (đối lập với mạch tích hợp điều khiển hàm RAM máy tính) ASIC xây dựng việc kết nối khối mạch xây dựng theo phương pháp Do khối mạch sử dụng có sẵn nên việc sản xuất ASIC dễ dàng nhiều so với việc thiết kế vi mạch từ phác thảo ban đầu Sau sơ lược trình phát triển mạch tích hợp (IC) Hình 1(a) cho thấy khuôn dạng IC bao gồm mạng lưới chân (viết tắt PGA : pin-grid array) nằm mặt IC cắm vào board mạch in, thường gọi gói chíp Hình 1(b), chip silicon (gọi silicon die) gắn vào lỗ hổng nắp bịt kín Hình (a) Sơ đồ chân IC (b) chip silicon nắp Điện tử – Khóa Đại học Công Nghiệp Hà Nội Thiết kế hệ thống số Kích thước vật lý silicon die thay đổi từ milimét đến vài milimét, thông thường kích cỡ IC đo số cổng logic (cổng NAND hai ngõ vào) hay số lượng transistor chứa IC Ví dụ, IC có 100k cổng tương đương với 100.000 cổng NAND hai ngõ vào Công nghệ bán dẫn đời vào năm 1970 tiếp tục phát triển Họ IC gồm linh kiện có mật độ tích hợp nhỏ (SSI) chứa từ đến 10 cổng logic cổng NAND, cổng NOR… vài chục transistor Tiếp đến thời kỳ mạch tích hợp có mật độ trung bình (MSI) mang theo chức ưu việt so với SSI mật độ tích hợp lớn đồng thời hàm logic chức nhiều Chẳng hạn như, số lượng cổng logic, giải mã, ghi, đếm tăng thêm Vào cuối năm 1970, công nghệ mạch tích hợp tiếp tục phát triển đến mức mạch tích hợp mật độ cao (LSI) đời Lúc này, vi xử lí chíp đơn đời Với đời LSI tạo nên bước tiến công nghệ bán dẫn, thu hút mối quan tâm kỹ sư, hãng cạnh tranh lúc Các hãng Intel Motorola bắt đầu sản xuất chíp vi xử lí đơn chíp nhớ đơn RAM ROM Các chíp hỗ trợ khác bắt đầu xuất như: cổng song song, giao diện nối tiếp (UART) điều khiển ngắt Vì vậy, nhiều họ chíp LSI sản xuất sử dụng cho mục đích thiết kế máy vi tính Ngày nay, mạch tích hợp có mật độ tích hợp cao (VLSI) đời đưa xử lý 64 bit có nhớ cache, xử lý toán học có dấu chấm động tích hợp triệu transistor Khi công nghệ CMOS phát triển, transistor sản xuất với diện tích nhỏ hơn, transistor tích hợp ngày nhỏ bên IC Trong năm 1980, với công nghệ VLSI kỹ sư bắt đầu khai thác ưu điểm thiết kế IC theo nhu cầu thiết kế hệ thống, ứng dụng đặt biệt cách tùy tiện so với IC chuẩn Sau đó, thiết kế hệ thống vi điện tử trở thành chủ đề cần quan tâm Đến cuối năm 1980, ngôn ngữ mô tả phần cứng VHDL Verilog đời sâu vào phong cách thiết kế Các mô tốc độ cao máy mô cho phép mẫu thiết kế có hiệu lực nhanh chóng Bộ kiểm tra phân tích tự động loại bỏ lỗi người gây trình xử lý Cuối cùng, công cụ tổng hợp tự động tiến hành phiên dịch hoạt động mô hình HDL thành mô hình cấu trúc logic Một hội nghị dành cho giai đoạn lên cách nhanh chóng công nghệ IC hiệp hội CICC (IEEE Custom Intergrated Circuits Conference), hôi nghị tổ chức hàng năm nhằm giúp cho phát triển hãng sản xuất IC tùy biến Các loại IC tùy biến khác bắt đầu đưa có ứng dụng khác Sự đời loại IC mở thời kỳ IC ứng dụng chuyên biệt hay ASIC Ngày nay, có hiệp hội ASIC quốc tế IEEE (IEEE International ASIC conference) Điện tử – Khóa Đại học Công Nghiệp Hà Nội Thiết kế hệ thống số II.Các loại ASIC :  ASIC đặc chế hoàn toàn (Full-custom ASIC)  ASIC dựa tế bào chuẩn (Standard-Cell-Based ASIC)  ASIC dựa mảng cổng lôgíc (Gate-Array-Based ASIC) - Mảng cổng chia kênh (channeled gate-array) - Mảng cổng không chia kênh (channeless gate-array) - Mảng cổng có cấu trúc (structured gate-array)  Các vi mạch lập trình (Programmable Logic Devices hay PLD)  Mảng cổng lôgíc lập trình theo trường/miền (FieldProgrammable Gate Array) III.Luồng thiết kế ASIC Điện tử – Khóa Đại học Công Nghiệp Hà Nội Thiết kế hệ thống số Nhập thiết kế (design entry): Sử dụng ngôn ngữ mô tả phần cứng HDL (VHDL hay Verilog) (VHDL Bộ Quốc phòng năm 1980 tiêu chuẩn hóa IEEE vào năm 1993 - Verilog tạo Cadence năm 1989 tiêu chuẩn hóa IEEE năm 1995) Tổng hợp logic (logic synthesis): Dùng HDL công cụ tổng hợp logic để xây dựng netlist – mô tả tế bào (cell), khối (block) kết nối (interconnect) chúng Phân chia hệ thống (system partitioning): Chia hệ thống lớn thành phần thích hợp Mô tiền layout (prelayout simulation): Kiểm tra tính đắn thiết kế (tiền layout = sơ đồ mạch logic – gần với thực tế) Sắp xếp khối chip (floorplanning): Sắp xếp khối netlist chip Nên xem xét khía cạnh vật lý logic thiết kế bước Bố trí cell (placement): Định vị cell bên khối Định đường dẫn hay định tuyến (routing): kết nối cell khối Kiểm tra tính hợp l‎ý bước (extraction): tính toán trở kháng dung kháng lớp interconnect Mô hậu layout (postlayout simulation): Kiểm tra khả làm việc ổn định toàn thiết kế trường hợp có thêm tải từ lớp interconnect (hậu layout = sơ đồ mạch thực tế) Các bước thiết kế - 5: logic (logical design) Các bước thiết kế – : vật lý (physical design) Kết thúc bước kiểm tra, có lỗi xuất ta phải quay lại bước để thực chỉnh sửa đến việc kiểm tra đảm bảo hoàn tất mà không lỗi Sau hoàn tất việc biên dịch mà không lỗi nữa, file biên dịch tải xuống thiết bị (download device) PHẦN 2: TÌM HIỂU VỀ FPGA I.Giới thiệu FPGA: PLD sản xuất phức tạp gọi field-programmable gate array ( FPGA ) Là vi mạch dùng cấu trúc mảng phần tử logic lập trình dạng trường (Chữ field muốn đến khả tái lập trình “bên ngoài” người sử dụng, không phụ thuộc vào dây chuyền sản xuất phức tạp nhà máy bán dẫn) Có khác biệt FPGA PLD Đó FPGA lớn phức tạp PLD FPGA thành viên họ ASIC phát triển nhanh chóng, thay TTL hệ thống vi điện tử Điện tử – Khóa Đại học Công Nghiệp Hà Nội Thiết kế hệ thống số Các đặc điểm cần thiết FPGA - Không có lớp mặt nạ tùy biến - Có phương pháp lập trình logic cell interconnect - Lõi mảng logic cell lập trình mà tăng cường kết nối chuỗi ( flip-flop) - Một ma trận interconnect lập trình bao quanh logic cell - Cell I/O lập trình bao quanh lõi - Thiết kế vài Tất FPGA chứa cấu trúc logic cell lập trình bao quanh interconnect lập trình Số lượng, kích thước, chủng loại xác logic cell lập trình thay đổi lớn A field-programmable gate array (FPGA) die FPGA xem loại vi mạch bán dẫn chuyên dụng ASIC, so sánh FPGA với ASIC đặc chế hoàn toàn hay ASIC thiết kế thư viện logic FPGA không đạt đựợc mức độ tối ưu loại này, hạn chế khả thực tác vụ đặc biệt phức tạp, FPGA ưu việt chỗ tái cấu trúc lại sử dụng, công đoạn thiết kế đơn giản chi phí giảm, rút ngắn thời gian đưa sản phẩm vào sử dụng Còn so sánh với dạng vi mạch bán dẫn lập trình dùng cấu trúc mảng phần tử logic PLA, PAL, CPLD FPGA ưu việt điểm: tác vụ tái lập trình FPGA thực đơn giản hơn; khả lập trình linh động hơn; khác biệt quan trọng kiến trúc FPGA cho phép có khả chứa khối lượng lớn cổng logic (logic gate), so với vi mạch bán dẫn lập trình có trước Thiết kế hay lập trình cho FPGA thực chủ yếu ngôn ngữ mô tả phần cứng HDL VHDL, Verilog, AHDL, hãng sản xuất FPGA lớn Xilinx, Altera thường cung cấp gói phần mềm thiết bị phụ trợ cho trình thiết kế, có số hãng thứ ba cung cấp gói phần mềm kiểu Synopsys, Synplify Các gói phần mềm có khả thực tất bước toàn quy trình thiết kế IC chuẩn với đầu vào mã thiết kế HDL (còn gọi mã RTL) Điện tử – Khóa Đại học Công Nghiệp Hà Nội Thiết kế hệ thống số II Ý nghĩa vai trò FPGA FPGA có ý nghĩa vai trò quan trọng thực tiễn Ứng dụng cho nhiều ngành nghề, đặc biệt khoa học Với ưu điểm khả tái cấu hình, tốc độ hoạt động, thời gian sản xuất, giá thành giảm, FPGA thường dung lĩnh vực như: Thiết kế lõi IP cho lĩnh vực chuyên dụng: - Mã hóa (Cryptography) - Viễn thông (Communication) - Công nghiệp phát truyền hình số (Broadcast Industry) - Xử lý ảnh, thiết kế codec - SoC thiết kế Core CPU, bus Thiết kế sản phẩm công nghệ cao số lượng ít, cần độ đặc chế cao Các máy đo, phát thu sóng viễn thông FPGA đóng vai trò glue-logic, kết nối chip chuyên dụng lại chạy số chức hỗ trợ CPU nhúng để hệ thống nhanh hơn, hiệu III Lập trình cho FPGA Nhập vào thiết kế (Schematic HDL) Mô chức (Functional Simulation) Tổng hợp (Synthesis) Mô thời gian (Timing Simulation) Liệt kê lưới (netlist)  Xử lý Implementation Device Programming Loading Sơ đồ lập trình cho FPGA Điện tử – Khóa Đại học Công Nghiệp Hà Nội Thiết kế hệ thống số Để sử dụng thiết bị logic lập trình ta phải kết hợp phần cứng phần mềm với Ta coi thiết bị lập trình thiết bị đích Các thiết bị cần thiết để lập trình bao gồm máy tính, phần mềm lập trình, thiết bị logic ( FPGA) thiết bị kết nối máy tính với thiết bị lập trình ( cáp nối mạch nạp) 1.Cách thiết kế: Trước tiên ta thiết kế máy tính, ta thiết kế sơ đồ Schematic ngôn ngữ mô tả phần cứng HDL (VHDL Verilog) 2.Mô chức năng: Để đảm bảo thiết kế hoạt động theo yêu cầu ta phải thực bước mô tả dạng sóng cách cung cấp dạng sóng đầu vào quan sát dạng sóng đầu 3.Tổng hợp Tổng hợp để đảm bảo thiết kế tối ưu theo thành phần từ giảm số lượng cổng, loại trừ thành phần logic thừa, thay thành phần logic khác có chức hiệu 4.Liệt kê lưới (Neslist) Mô tả thành phần cách kết nối với Liệt kê lưới chứa thành phần phần tử sử dụng Các điểm kết nối port pin chân) Thi hành Sau thiết kế tổng hợp trình biên dịch thi hành thiết kế - Về công việc xắp xếp thiết kế để tương thích với thiết bị lập trình chọn cách dựa vào cấu trúc cấu hình chân Quá trình xử lý gọi làm cho tương thích (fitting) Để kết thúc công đoạn thi hành dòng thiết kế phần mềm phải biết thiết bị rõ rang phải có đầy đủ thông tin chi tiết chân Dữ liệu đầy đủ cho tất thiết bị thường lưu thư viện nhớ người thiết kế cần lựa chọn thiết bị lập trình 6.Mô thời gian Thực trước nạp vào thiết bị, Mô theo thời gian để kiểm tra mạch hoạt động tần số thiết kế thời gian trễ hoăc vấn đề thời gian khác làm ảnh hưởng đến hoạt động mạch Khi mô chức thông số định thiết bị không cần thiết, mô thời gian cần phải lựa chọn thiết bị đích Nếu không bị lỗi ta thực bước tiếp theo, gặp cố ví dụ gặp thời gian trễ ta phải lặp lại bước thiết kế 7.Nạp chương trình cho thiết bị Sau kiểm tra mô chức mô theo thời gian hoạt động ta tiến hành Download Thiết kế cần nạp vào FPGA dạng dòng bit (bit stream) Quá trình nạp thiết kế (download) vào FPGA thường nạp vào nhớ bay hơi, ví dụ SRAM Thông tin cấu hình nạp vào nhớ Dòng bit truyền lúc mang thông tin định nghĩa khối logic kết nối Điện tử – Khóa Đại học Công Nghiệp Hà Nội Thiết kế hệ thống số thiết kế Tuy nhiên, lưu ý rằng, SRAM liệu nguồn nên thiết kế không lưu đến phiên làm việc Lập trình (program) thuật ngữ để mô tả trình nạp chương trình cho nhớ không bay hơi, ví dụ PROM Như vậy, thông tin cấu hình lưu trữ nguồn IV Các công cụ lập trình Các thiết bị cần thiết để lập trình bao gồm máy tính, phần mềm lập trình, thiết bị logic ( FPGA) thiết bị kết nối máy tính với thiết bị lập trình ( cáp nối mạch nạp) Thiết kế hay lập trình cho FPGA thực chủ yếu ngôn ngữ mô tả phần cứng HDL VHDL, Verilog, hay sơ đồ mạch (Schematic) kết hợp Schematic với HDL Phần lớn sản phẩm FPGA thị trường dựa công nghệ SRAM với hãng sản xuất lớn Xilinx Altera Dòng FPGA cao cấp Xilinx Virtex ( Virtex 5), Spartan, SpartanII, SpartanIII với Altera Stratix 3, FLEX,Cyclone Ngoài có hãng khác sản xuất FPGA với mục đích chuyên dụng (Atmel, Actel, Lattice, ) Môi trường thiết kế ta sử dụng Altium Designer, phiên Altium Designer Summer lập trình cho FPGA tất hãng cung cấp, phần mềm trung lập, thiết kế dễ dàng trực quan, hỗ trợ nhiều tính thuận tiện Ngoài sử dụng phần mềm hỗ trợ khác như: - Xilinx ISE lập trình cho FPGA Xilinx - Altera quatus lập trình cho FPGA Altera - Actel libero lập trình cho FPGA Actel - Labview lập trình cho FPGA NI *************************************************************** Điện tử – Khóa Đại học Công Nghiệp Hà Nội 10 Thiết kế hệ thống số PHẦN 3: MÃ NGUỒN VHDL Thiết kế đếm 000-999 đếm lên xuống đươc điều khiển tín hiệu vào SEL (SEL=0 đếm lên,SEL=1 đếm xuống ) có giải mã hiển thị led ***************************Solution 1****************************** library IEEE; use IEEE.STD_LOGIC_1164.all; entity nomal999 is port( clk : in STD_LOGIC; rst : in STD_LOGIC; sel : in STD_LOGIC; Led_donvi : out STD_LOGIC_VECTOR(6 downto 0); Led_chuc : out STD_LOGIC_VECTOR(6 downto 0); Led_tram : out STD_LOGIC_VECTOR(6 downto 0) ); end nomal999; architecture behaviral of nomal999 is begin process(clk,rst) variable donvi:integer range -1 to 10; variable chuc:integer range -1 to 10; variable tram:integer range -1 to 10; begin if(rst='1')then donvi:=0; chuc:=0; tram:=0; elsif(clk'EVENT and clk='1')then if(sel='0')then donvi:=donvi+1; if(donvi=10)then donvi:=0; chuc:=chuc+1; if(chuc=10)then chuc:=0; tram:=tram+1; if(tram=10)then tram:=0; Điện tử – Khóa Đại học Công Nghiệp Hà Nội 11 Thiết kế hệ thống số end if; end if; end if; else donvi:=donvi-1; if(donvi=-1)then donvi:=9; chuc:=chuc-1; if(chuc=-1)then chuc:=9; tram:=tram-1; if(tram=-1)then tram:=9; end if; end if; end if; end if; end if; Hien thi case donvi is when =>led_donviled_donviled_donviled_donviled_donviled_donviled_donviled_donviled_donviled_donvinull; end case; case chuc is when =>led_chucled_chucled_chucled_chucled_chucled_chucled_chucled_chucled_chucled_chucnull; end case; case tram is when =>led_tramled_tramled_tramled_tramled_tramled_tramled_tramled_tramled_tramled_tramnull; end case; end process; end behaviral; Mô phỏng: - Khi Sel=1 đếm ngược -Khi Sel =0 chuyển từ đếm ngược sang đếm thuận Điện tử – Khóa Đại học Công Nghiệp Hà Nội 13 Thiết kế hệ thống số ************************Solution 2***************************** library IEEE; use IEEE.STD_LOGIC_1164.all; entity function_999 is port( clk : in STD_LOGIC; rst : in STD_LOGIC; sel : in STD_LOGIC; led_donvi : out STD_LOGIC_VECTOR(6 downto 0); led_chuc : out STD_LOGIC_VECTOR(6 downto 0); led_tram : out STD_LOGIC_VECTOR(6 downto 0) ); end function_999; architecture behaviral of function_999 is function giai_ma( x:integer range to 9) return std_logic_vector is variable led: std_logic_vector(6 downto 0); begin case x is when =>led:="1000000" ; when =>led:="1111001" ; when =>led:="0100100" ; when =>led:="0110000" ; when =>led:="0011001" ; when =>led:="0010010" ; when =>led:="0000010" ; when =>led:="1111000" ; when =>led:="0000000" ; when =>led:="0010000" ; when others =>null; end case; return led; end giai_ma; begin process(clk,rst) variable donvi: integer range -1 to 10; variable chuc: integer range -1 to 10; variable tram: integer range -1 to 10; begin if(rst='1')then Điện tử – Khóa Đại học Công Nghiệp Hà Nội 14 Thiết kế hệ thống số donvi:=0; chuc:=0; tram:=0; elsif(clk'EVENT and clk='1')then if(sel='0')then donvi:=donvi+1; if(donvi=10)then donvi:=0; chuc:=chuc+1; if(chuc=10)then chuc:=0; tram:=tram+1; if(tram=10)then tram:=0; end if; end if; end if; else donvi:=donvi-1; if(donvi=-1)then donvi:=9; chuc:=chuc-1; if(chuc=-1)then chuc:=9; tram:=tram-1; if(tram=-1)then tram:=9; end if; end if; end if; end if; end if; led_donvi[...]... led_chucled_tramled_tramled_tramled_tramled_tramnull; end case; end process; end behaviral; Mô phỏng: - Khi Sel=1 đếm ngược -Khi Sel =0 chuyển từ đếm ngược sang đếm thuận Điện tử 2 – Khóa 3 Đại học Công Nghiệp Hà Nội 13 Thiết kế hệ thống số ************************Solution 2***************************** library IEEE; use IEEE.STD_LOGIC_1164.all; entity function_999 is port( clk : in STD_LOGIC; rst : in STD_LOGIC; sel : in STD_LOGIC;... process(clk,rst) variable donvi: integer range -1 to 10; variable chuc: integer range -1 to 10; variable tram: integer range -1 to 10; begin if(rst='1')then Điện tử 2 – Khóa 3 Đại học Công Nghiệp Hà Nội 14 Thiết kế hệ thống số donvi:=0; chuc:=0; tram:=0; elsif(clk'EVENT and clk='1')then if(sel='0')then donvi:=donvi+1; if(donvi=10)then donvi:=0; chuc:=chuc+1; if(chuc=10)then chuc:=0; tram:=tram+1; if(tram=10)then... clk='1')then if(sel='0')then donvi:=donvi+1; if(donvi=10)then donvi:=0; chuc:=chuc+1; if(chuc=10)then chuc:=0; tram:=tram+1; if(tram=10)then tram:=0; Điện tử 2 – Khóa 3 Đại học Công Nghiệp Hà Nội 11 Thiết kế hệ thống số end if; end if; end if; else donvi:=donvi-1; if(donvi=-1)then donvi:=9; chuc:=chuc-1; if(chuc=-1)then chuc:=9; tram:=tram-1; if(tram=-1)then tram:=9; end if; end if; end if; end if; end if; .. .Thiết kế hệ thống số LỜI NÓI ĐẦU Các mạch tích hợp đại ngày thực nhiều chức mà vấn đề thiết kế mạch ngày trở nên phức tạp Trước thiết kế hệ thống số người ta thường sử dụng... năm 1980, với công nghệ VLSI kỹ sư bắt đầu khai thác ưu điểm thiết kế IC theo nhu cầu thiết kế hệ thống, ứng dụng đặt biệt cách tùy tiện so với IC chuẩn Sau đó, thiết kế hệ thống vi điện tử trở... Công Nghiệp Hà Nội Thiết kế hệ thống số Để sử dụng thiết bị logic lập trình ta phải kết hợp phần cứng phần mềm với Ta coi thiết bị lập trình thiết bị đích Các thiết bị cần thiết để lập trình

Ngày đăng: 03/01/2016, 20:45

Tài liệu cùng người dùng

Tài liệu liên quan