High k dielectric MIM capacitors for silicon RF and analog applications

154 352 0
High k dielectric MIM capacitors for silicon RF and analog applications

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

High-κ Dielectric MIM Capacitors for Silicon RF and Analog Applications HU HANG (M. Sc., Jilin University) A thesis submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy Electrical and Computer Engineering Department National University of Singapore Singapore December, 2003 Abstract ABSTRACT Metal-insulator-metal (MIM) capacitors in silicon integrated circuits have attracted great attention due to their high conductive electrodes and low parasitic capacitance. The conventional MIM capacitors using SiO2 and Si3N4 usually provide low capacitance density, which is far from the requirement predicted by ITRS roadmap. Therefore, to adopt high-κ materials is an unavoidable choice to improve the overall electrical performance by using physically thicker dielectric films. In this thesis, a thorough research has been done for high-κ MIM capacitors using HfO2 based dielectrics for the first time. Various fabrication methods such as pulsed-laser deposition, sputtering, and atomic-layer-deposition have been employed to prepare high-κ dielectrics, and different dielectric structures like laminate, stack, sandwich, etc, have also been explored as well. Extensive electrical characterization was conducted to evaluate HfO2 based high-κ MIM capacitors. DC properties in terms of leakage, voltage coefficients, reliability etc, have been analyzed which are strongly correlated to the preparation methods and material properties. In addition, well behaved RF characteristics of these dielectrics have been demonstrated showing the almost invariable dielectric constants of HfO2 based dielectrics in RF regime. As a result, all the experimental results justify the suitability of HfO2 based dielectrics for MIM capacitors application. Mechanisms with regard to the electronic conduction in high-κ dielectrics, voltage coefficients of capacitance (VCCs) dependency, oxide degradation etc., have been discussed and clarified. A good understanding of process-structure-property I Abstract correlation is thus been achieved for high-κ dielectrics fabrication in back-end of line process, and the information obtained in this thesis is paramount for the operation of MIM capacitor devices. Finally, a free carrier injection model has been employed to understand VCCs’ mechanism of MIM capacitors. The results reveal that, the thickness (t) dependence of quadratic VCCs is an intrinsic problem due to electrical field enhancement in the scaled dielectric film, which exhibits a relation of α ∝ t−n (n~2). Besides, the frequency dependence of VCCs, and the stress modified VCCs could also been well interpreted using this model. II Table of Contents TABLE OF CONTENTS Page No. CHPATER INTRODUCTION OF HIGH-Κ MIM TECHNOLOGY 1.1. Capacitors in Si technology……………………… .………………………… 1.2. Review of the literature……………………………………………………… .4 1.2.1. Motivation of metal-insulator-metal (MIM) technology……………………….4 1.2.2. Current status of MIM technology…………………………………………… 1.2.3. High-κ dielectrics for MIM capacitors application…………………………….7 1.2.4. Challenges and unsolved problems………………………………………… .12 1.3. Contribution of this thesis…………………………………………………….12 1.4. Thesis outline………………….……………………………………………….13 References…………………………………………………………………………….15 CHAPTER HFO2 MIM CAPACITORS BY PULSED-LASER DEPOSITION (PLD) 2.1. Introduction……………… ………………………………………………….22 2.2. Experiments………………………………………………………………… .24 2.3. Results and discussion………………………………………………………25 2.3.1. Physical characterization of PLD processed HfO2………………………………………… 25 2.3.2. Electrical characterization of HfO2 MIM capacitor………………………….35 2.4. Limitations of PLD for thin film fabrication………………………………….43 III Table of Contents 2.5. Conclusion……………………………………………………………….……46 References…………………………………………………………………………….48 CHAPTER CHARACTERIZATION OF HFO2 MIM CAPACITORS FOR RF APPLICATION 3.1. Introduction………………………………………………………………… .53 3.2. Experiments………………………………………………………………… .54 3.2.1. RF MIM capacitor fabrication………………………………………………54 3.2.2. S-parameters for RF characterization…………………………………………57 3.3. Results and discussion………………………………………………………58 3.3.1. RF characterization……………………………………………………………58 3.3.2. DC and low frequency measurements…… .…………………………………63 3.4. Conclusion…………………………………………………………………….70 References…………………………………………………………………………….72 CHAPTER HFALOX MIM CAPACITORS BY ATOMIC-LAYER-DEPOSITION (ALD) 4.1. Introduction………………………………………………………………… .76 4.1.1. ALD method for thin films fabrication………………………………………76 4.1.2. Characteristics of ALD processed HfO2 and Al2O3………………………… 77 4.2. Experiments………………………………………………………………… .80 4.3. Electrical characterization of HfO2-Al2O3 laminated MIM capacitors………81 4.3.1. RF characteristics of laminated MIM capacitors….…………………………82 4.3.2. Leakage and breakdown characteristics of laminated MIM capacitors………84 IV Table of Contents 4.3.3. VCCs dependence and reliability of laminated MIM capacitors……………93 4.4. Effects of dielectric structures on the electrical properties………………….100 4.5. Conclusion………………………………………………………………… .105 Reference…………………………………………………………………………….106 CHPATER UNDERSTANDING VOLTAGE COEFFICIENTS OF HIGH-Κ MIM CAPACITORS 5.1. Introduction………………………………………………………………….112 5.2. Theory……………………………………………………………………… 113 5.3. Results and discussion……………………………………………………….115 5.3.1. Thickness dependence of VCCs for HfO2 MIM capacitor………………… 115 5.3.2. Frequency dependence of VCCs………………………………………… 123 5.3.3. Electrical stress modified VCCs…………………………………………… 125 5.3.4. Prediction of VCCs………………………………………………………… 126 5.4. Conclusion……………………………………………………………… .…129 References………………………………………………………………………….130 CHAPTER Summary and future works………………………………………………………134 6.1. Summary…………………………………………………………………… 134 6.2. Future works…………………………………………………………………135 V List of Figures LIST OF FIGURES Figure 1.1 Dielectric constant κ versus band gap for oxides………………… ….8 Figure 2.1 Experimental configuration of pulsed-laser deposition system in this work………………………………………………………………… .23 Figure 2.2 XRD patterns of HfO2 thin films deposited on Si(100) substrates at various substrate temperatures……………………………………… 26 Figure 2.3 Deposition rates of HfO2 thin films deposited on Si substrates at various substrate temperatures……………………………………………… .27 Figure 2.4 Three dimensional AFM images of HfO2 thin films deposited on Si substrates at various substrate temperatures of (a). 25, (b). 200, (c). 300, and (d). 500oC respectively………………………………………… .28 Figure 2.5 Spectral dependence of refractive indexes of HfO2 films deposited at (a) various substrate temperatures (oxygen pressure: 50 mTorr) and (b) various deposition pressures (all deposited at room temperature)……32 Figure 2.6 Spectral dependence of extinction coefficients of HfO2 films deposited at (a) various substrate temperatures (oxygen pressure: 50 mTorr) and (b) various deposition pressures (all deposited at room temperature) .34 Figure 2.7 TEM photos of 56 nm HfO2 MIM capacitor fabricated at 200oC…….36 Figure 2.8 Current-voltage characteristic of HfO2 MIM capacitors prepared at 200, 300, and 400oC respectively………………………………………… 37 Figure 2.9 Capacitance versus frequency at zero bias for HfO2 MIM capacitors prepared at 200, 300, and 400oC respectively……………………… .38 VI List of Figures Figure 2.10 Normalized capacitance of HfO2 MIM capacitors prepared at (a) 200, (b) 300, and (c) 400oC as a function of voltage applied at a frequency of kHz, 10 kHz, 100 kHz, and MHz respectively………………………39 Figure 2.11 Normalized capacitance of HfO2 MIM capacitor prepared at 200oC as a function of temperature………………… .………………………… 42 Figure 2.12 SEM top views of HfO2 film surfaces prepared with the laser fluence of (a) 4.0 and (b) 7.0 J/cm respectively (fabricated at room temperature)………………………………………………………… .44 Figure 3.1 Major fabrication steps and schematic top views of RF HfO2 MIM capacitor and open dummy structure………………………………….56 Figure 3.2 The definition of S-parameters for a two-port network……………….57 Figure 3.3 The equivalent circuit model for capacitor simulation at RF regime…59 Figure 3.4 The measured and simulated S-parameters for (a) HfO-1 and (b) HfO-2. (Simulation and parameter extractions were done by ICCAP.)………60 Figure 3.5 High frequency response of PVD HfO2 MIM capacitors from 50 MHz to 20 GHz for HfO-1 and HfO-2…………………………………… 62 Figure 3.6 The frequency dependence of capacitance density for PVD HfO2 MIM capacitors HfO-1 and HfO-2…………………………………………62 Figure 3.7 Stress induced leakage currents (SILCs) characteristics of (a) HfO-1 and (b) HfO-2 under the constant voltage stress at 1.5 V…………….64 Figure 3.8 Stress time dependence of (a) the quadratic voltage coefficients and (b) the linear voltage coefficients for HfO-1 under the constant voltage stress at 1.5 V…………………………………………………………66 VII List of Figures Figure 3.9 Stress time dependence of (a) the quadratic voltage coefficients and (b) the linear voltage coefficients for HfO-2 under the constant voltage stress at 1.5 V…………………………………………………………68 Figure 3.10 The equivalent circuit for HfO2 MIM capacitors after stress. The added branch stands for the generated trapped states in MIM capacitor after stress………………………………………………………………… 69 Figure 4.1 The growth rates dependence on deposition cycles for ALD processed (a) HfO2 and (b) Al2O3………………………………………………79 Figure 4.2 TEM cross section of 13 nm HfO2-Al2O3 laminated dielectric……….81 Figure 4.3 Measured and simulated S-parameters for (a) 13 nm, (b) 31 nm and (c) 43 nm laminated MIM capacitors……………………………………83 Figure 4.4 The capacitance density dependence on frequency for laminate capacitors with three thicknesses, the inset shows high frequency response of laminate MIM capacitors from 50 MHz to 20 GHz…… .84 Figure 4.5 J-V characteristics of 13, 31 and 43 nm laminated capacitors measured at 125oC.…………………………… ……………………………….85 Figure 4.6 J-V characteristics of 13 nm laminated MIM capacitor as a function of temperature…………………………… .…………………………….85 Figure 4.7 Conduction mechanisms for the 13 nm laminated MIM capacitor: (a) Poole-Frenkel mechanism occurring at high electric field, exhibiting a shift to lower electric field with increasing the temperature, (b) Schottky emission fitting at low electric field…………… ………….87 Figure 4.8 The characteristics of leakage current versus stress time under 4V stress for the 13 nm laminated MIM capacitor. Square and round symbols VIII List of Figures represent the 1st stress and the 2nd stress after an interruption of 10 hours, respectively………………………………… .……………….90 Figure 4.9 I-V measurements showing the hysteresis loop of 13 nm laminated MIM capacitor…………………………………… ………………90 Figure 4.10 (a) The typical breakdown characteristics of 13 nm laminate under different constant voltage stress; (b) the cumulative probability dependence on breakdown voltage for the laminated MIM capacitors with different thicknesses… …………………………………………92 Figure 4.11 (a) The voltage-dependent normalized capacitance (∆C/C0) at MHz for 13, 31 and 43 nm laminated capacitors, fitted by a second order polynomial equation; and (b) the corresponding plot of ∆C/C0 versus electric field (E)………………………… .………………………… 94 Figure 4.12 Frequency dependences of α for 13, 31 and 43 nm laminated capacitors, showing a linear fitting in log-log scale…………… .……………….95 Figure 4.13 Thickness dependence of quadratic VCC (α) for laminated MIM capacitors.…………………………………………………………… 95 Figure 4.14 Temperature dependences of α and β at 100 kHz for 13, 31 and 43 nm laminated capacitors…………………………………………… … 96 Figure 4.15 The dependence of α/α0 on stress time at 10 kHz, 100 kHz and MHz. The inset shows stress time dependence of β/β0 at the same frequencies. α0 and β0 represent the data before voltage stress (β0 is of negative sign.), α and β denote the data after different time stress…………….97 Figure 4.16 (a) Cumulative TDDB curves under various constant voltages stress for 13 nm laminated MIM capacitor measured at room temperature, (b) IX -3 10 -4 10 10 -5 10 Carrier mobility (cm /vs) 10 Measured quadratic VCC at different frequency Quadratic VCC (ppm/V ) Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors Fitted carrier mobility based on the measured quadratic VCC 30 nm HfO2 MIM Capacitor 10 10k 100k Frequency (Hz) 1M Figure 5.8: The measured VCCs for 30 nm HfO2 MIM capacitor together with the Normallized capacitnace (ppm) extracted carrier mobility at frequencies of 10k, 100k, 500k, MHz. 40000 Normallized capacitance decreases as the frequency increasing from 10 kHz, 100 kHz, 500 kHz, and 1MHz 30000 20000 10000 -6 -4 -2 Voltage (V) Figure 5.9: Simulated normalized capacitance as a function of voltage for 30 nm HfO2 MIM capacitors at frequencies of 10k, 100k, 500k, and 1MHz. 124 Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors simply attributed to the traps with the different time constants in the dielectric [8, 26]. In this work, according to the free carrier injection model, we noticed that the frequency dependence of VCCs could be interpreted when considering the change of the carriers’ mobility at different frequencies. As shown in Fig. 5.8, the measured quadratic VCCs for 30 nm HfO2 at different operating frequencies was shown; together with the extracted carrier mobility at various operating frequency. It was found that the reduced VCCs corresponded to the decreased free carrier mobility in the dielectric film. Further, the simulated normalized capacitances as a function of voltage at different frequencies are shown in Fig.5.9. Recently, it was reported by C. H. Huang et al that VCCs of high-κ AlTaOx MIM capacitor continued to decrease from IF to RF frequency regime using a newly developed mathematical method [9]. According to Coelho [14], the free carrier’s mobility (µ) accounts for all the inelastic collisions between electrons and the lattice and/or defects in the dielectrics. As a result, the electrons moving from the cathode to anode will become much more affected with the increase of frequency, causing the carrier mobility to decrease with frequency; therefore the capacitance variation become weak with DC bias. According to (5.2), the decreased carrier mobility will lead to higher relaxation time which was generally used to interpret the frequency dependence of VCCs for high-κ MIM capacitors. 5.3.3. Electrical stress modified VCCs In Chapter 3, we observed that the quadratic VCCs will reduce monotonously with stress time for PVD HfO2, and a physical circuit model was employed to explain 125 Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors the underlying mechanism. Similarly, the same trend could be found in HfO2-Al2O3 laminate MIM capacitors [19]. Based on the free carrier model, we are able to further interpret these phenomena. As we know, the relaxation time (τ) could be regarded as the approach of free carrier distribution to the steady state. In other words, certain amounts of relatively immobile species may result in much longer relaxation time. Considering the traps generation in the dielectric film after electrical stress (see Figure 3.7 in Chapter 3), the injected electrons could be captured in the trap sites to become immobilized. Therefore, an increase of average relaxation time was anticipated, and it could be explained as the carrier mobility change to some extent in the case of the traps generation [27]. As a result, the capacitance will change more slowly with bias due to traps generation after stress. The very similar case as seen in the distortion (stretch-out) of C-V curve in MOS device could also been found when the interface states are present [28]. With the continuously increased stress time, more electrons trapping probably renders the VCCs decrease monotonously. In addition, the different amounts of the reduced VCCs may correlate to the different amounts of traps created in oxide films. 5.3.4. Prediction of VCCs According to the above discussion, it is concluded that the effect of dielectric thickness, frequency, and stress on the VCCs could be either due to the change of free carrier mobility, and/or carrier concentration in high-κ dielectric film. In conclusion, Figure 5.10(a) and 5.10(b) summarize the VCCs of HfO2 MIM capacitors as a function of thickness, using different pre-factor n0 and carrier mobility values. The results 126 Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors Quadratic VCC (ppm/V ) 10 Capacitance density=5fF/µm 10 15 -3 n0=1.636x10 cm Quadratic VCC=100 ppm/V 10 15 -3 n0=1.636x10 cm 10 14 -3 n0=1.636x10 cm 10 10 20 30 40 50 Thickness (nm) 60 (a) 10 -4 10 µ=1.1x10 cm /vs Quadratic VCC (ppm/V ) Capacitance density=5fF/µm Quadratic VCC=100 ppm/V -5 µ=6.6x10 cm /vs 10 10 10 -5 µ=1.1x10 cm /vs 20 30 40 50 Thickness (nm) 60 (b) Figure 5.10: (a) The simulated VCCs of HfO2 MIM capacitors as a function of thickness with different carrier concentration pre-factor (n0), and (b) the simulated VCCs as a function of thickness with different carrier mobility in dielectric film. 127 Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors showed that the VCCs will drop monotonously with thickness when the pre-factor and carrier mobility values are pre-defined. In addition, the VCCs drop by nearly one order of magnitude when the pre-factor or the carrier mobility decreases by the same order at certain thickness. For a specific instance, in order to meet the specifications for analog MIM capacitor beyond 2007 (VCCs ≤ 100 ppm/V2, capacitance density of fF/µm2), the hatched areas in Figs. 5.10(a) and 5.10(b) are the regions where the capacitance density and VCCs meet the ITRS roadmap requirements [1]. Comparing with the dielectric thickness, the carrier mobility and pre-factor n0 are mainly affected and determined by certain process conditions for high-κ dielectrics fabrication. Thus, the effective way to reduce VCCs is to increase the dielectric thickness. However, it severely limits the scaling of high-κ dielectric for improving capacitance density of MIM capacitors. In order to meet the continuously increased capacitance density requirement as well as small VCCs, dielectrics with much higher dielectric constants than HfO2 needs to be researched and implemented in order for future applications. Besides, it is believed that carrier mobility and pre-factor n0 are strongly affected by the fabrication methods and process conditions [3, 12, 29-31], which directly correlates to the quality of the high-κ materials. In particular, Y. L. Tu observed that the deposition temperature could affect the VCCs of Ta2O5 MIM capacitor to some extent [3]. However, low thermal budget in back-end line integration severely limits the improvement of high-κ dielectrics quality, which impedes the successfully wide implementation of high-κ dielectrics for MIM capacitors application. Recently, several plasma treatment schemes prove to be effective for the leakage property improvement for some high-κ films at low temperature compatible with BEOL process [30, 31], which may also be promising for the improvement of C-V characteristics for high-κ MIM capacitors. 128 Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors 5.4. Conclusion In this chapter, a free carrier injection model has been established successfully to explore the VCCs’ mechanism for high-κ MIM capacitors using HfO2 as the dielectric. For the first time, it has been revealed that the thickness dependence of VCCs, which exhibits a relation of α ∝ t−n (n~2), is an intrinsic problem due to the electrical field increment when the dielectric film is scaled down. This finding is of great implication for high-κ MIM capacitors application in many aspects and is also useful for the design purpose. In addition, the frequency dependences of VCCs, and the stress modified VCCs have also been discussed. For both cases, the C-V curves bent downwards with the increase of frequency and/or under electrical stress, i.e. the VCCs will decrease with frequency and/or after electrical stress. Based on the free carrier model, it is proposed that the VCCs variations are attributed to the change of relaxation time, which is in the origin of carrier mobility and/or pre-factor n0 change in the dielectric film. In conclusion, this model could be also easily applied to other highκ MIM capacitors predicting the C-V characteristics, and help to understand the process-property correlationship of high-κ dielectrics. 129 Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors References: [1] The international Technology Roadmap for Semiconductors, Semiconductor Industry Association, 2002. [2] R. B. van Dover, R. M. Flemming, L. F. Schneemeyer, G. B. Alers, and D. J. Werder, “Advanced dielectrics for gate oxide, DRAM and rf capacitors,” in Proc. of IEDM, pp. 823-826, 1998. [3] Y. L. Tu, H. L. Lin, L. L. Chao, D. Wu, C. S. Tsai, C. Wang, C. F. Huang, C. H. Lin, and J. Sun, “Characterization and comparison of high-κ metal-insulator-metal (MIM) capacitors in 0.13µm Cu BEOL for mixed-mode and RF applications,” in Proc. Symp. VLSI Technology, pp. 79-80, 2003. [4] A. Kar-Roy, C. Hu, M. Racanelli, C. A. Compton, P. Kempf, G. Jolly, P. N. Sherman, J. Zheng, Z. Zhang, and A. Yin, “High density metal insulator metal capacitors using PECVD nitride for mixed signal and RF circuits,” IITC, pp. 245247, 1999. [5] X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D.-L. Kwong, P.D. Foo, and M. B. Yu, “A high-density MIM capacitor (13 fF/µm2) using ALD HfO2 dielectrics,” IEEE Electron Device Lett., Vol. 24, No. 2, pp.63-65, 2003. [6] S. J. Kim, B. J. Cho, M. F. Li, X. Yu, C. Zhu, A. Chin, and D.-L. Kwong, “PVD HfO2 for high-precision MIM capacitor applications,” IEEE Electron Device Lett, Vol. 24, No. 6, pp.387-389, 2002. [7] H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, “A high performance MIM capacitor using HfO2 dielectrics,” IEEE Electron Device Lett., Vol. 23, No. 9, pp.514-516, 2002. [8] S. B. Chen, C. H. Lai, A. Chin, J. C. Hsieh, and J. Liu, “High-density MIM capacitors using Al2O3 and AlTiOx dielectrics,” IEEE Electron Device Lett, Vol. 23, 130 Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors No. 4, pp.185-187, 2002. [9] M. Y. Yang, C. H. Huang, A. Chin, C. Zhu, M. F. Li, and D.-L. Kwong, “Highdensity MIM capacitors using AlTaOx dielectrics,” IEEE Electron Device Lett., Vol. 24, No.5, pp.306-308, 2003. [10] C. Zhu, H. Hu, X Yu, A. Chin, M. F. Li, and D. -L. Kwong, “Voltage and temperature dependence of capacitance of high-κ HfO2 MIM capacitors: A unified understanding and prediction,” in Proc. of IEDM, pp. 879-882, 2003. [11] K.-S. Tan, S. Kiriake, M. de Wit, J. W. Fattaruso, C.-Y. Tsay, W. E. Matthews, and R. K. Hester, “Error correction techniques for high-performance differential A/D converters,” IEEE J. Solid-State Circuits, Vol. 25, pp. 1318-1327, 1990. [12] S. Blonkowski, M. Regache, and A. Halimaoui, “Investigation and modeling of the electrical properties of metal-insulator-metal structures formed from chemical vapor deposited Ta2O5 films,” J. Appl. Phy., Vol. 90, pp. 1501-1508, 2002. [13] R. Coelho, “Sur ia relaxation d’une charge d’espace,” Rev. Phys. Appl., Vol. 18, pp. 137-146, 1983. [14] R. Coelho, Physics of dielectrics for the engineer (Elsevier, 1979.) [15] J. J. O’dwyer, The theory of electrical conduction and breakdown in solid dielectric (Oxford university Press, 1973.) [16] L. I. Maissel and R. Glang, Handbook of thin film technology (McGraw-Hill Book Company, 1983) [17] W. Zhu, T. P. Ma, T. Tamagawa and Y. Di, “HfO2 and HfAlO for CMOS: thermal stability and current transport,” in Proc. of IEDM, pp. 463-466, 2001. [18] S. M. Sze, Physics of Semiconductor Devices, 2nd ed. (Wiley, New York, 1981.) [19] H. Hu, S. -J. Ding, H. Lim, C. Zhu, M. F. Li, S. J. Kim, X. Yu, J. H. Chen, Y. F. Yong, B. J. Cho, D. S. H. Chan, Subhash C Rustagi, M. B. Yu, C. H. Tung, A. Y. 131 Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors Du, D. My, P. D. Foo, A. Chin, and D.-L. Kwong, “High performance ALD HfO2-Al2O3 laminate MIM capacitors for RF and mixed signal IC applications,” in Proc. of IEDM, pp. 379-382, 2003. [20] H. Zhang, R. Solanki, B. Roberds, G. Bai and I. Banerjee, “High permittivity thin film nanolaminates,” J. Appl. Phy., Vol. 87, pp. 1921-1924, 2000. [21] W. K. Chim, T. H. Ng, B. H. Koh, W. K. Choi, J. X. Zheng, C. H. Tung, and A. Y. Du, “Interfacial and bulk properties of zirconium dioxide as a gate dielectric in metal-insulator-semiconductor structures and current transport mechanisms,” J. Appl. Phy., Vol. 93, pp. 4788-4793, 2003. [22] T. Yoshitomi, Y. Ebuchi, H. Kimijama, T. Ohguro, E. Morifuji,. H.S. Momose, K. Kasai, K. Ishimaru, F Matsuoka, Y. Katsumata, M. Kinugawa, and H. Iwai, “High performance MIM capacitor for RF BiCMOS/CMOS LSIs,” in Proc. of BCTM, pp. 133-136, 1999. [23] H. Hu, C. Zhu, X. Yu, A. Chin, M. F. Li, B. J. Cho, and D. -L. Kwong, “MIM capacitors using atomic-layer-deposited high-κ (HfO2)1-x(Al2O3)x dielectrics,” IEEE Electron Device Lett., Vol. 24, pp. 60-62, 2003. [24] S. J. Kim, B. J. Cho, M. F. Li, C. Zhu, A. Chin, and D. -L. Kwong, “HfO2 and lanthanide-doped HfO2 MIM capacitors for RF/mixed IC applications,” in Proc. Symp. VLSI Technology, pp.77-78, 2003. [25] S. Van Huylenbroeck, S. Decoutere, R. Venegas, S. Jenei, and G. Winderickx, “Investigation of PECVD dielectrics for nondispersive metal-insulator-metal capacitors,” IEEE Electron Device Lett., Vol. 23, No. 4, pp. 191-193, 2002. [26] J. A. Babcock, S. G. Balster, A. Pinto, C. Dirnecker, P. Steinmann, R. Jumpertz, and B. El-Kareh, “Analog characteristics of metal-insulator-metal capacitors 132 Chapter Understanding Voltage Coefficients of High-κ MIM Capacitors using PECVD nitride dielectrics,” IEEE Electron Device Letters, Vol. 22, No. 5, pp. 230-232, 2001. [27] B. Gross, “Dose rate dependence of carrier mobility,” Solid State Commun., Vol. 15, pp. 1655-1657, 1974. [28] E H Nicollian and J R Brews, MOS (Metal Oxide Semiconductor) Physics and technology (Wiley, 1982) [29] H. Hu, C. Zhu, X. F. Lu, Y. H. Wu, T. Liew, M. F. Li, B. J. Cho, W. K. Choi and N. Yakovlev, “Physical and electrical characterization of HfO2 metal-insulatormetal capacitors for Si analog circuit applications,” J. Appl. Phy., Vol. 94, pp. 551-557, 2003. [30] G. B. Alers, R. M. Fleming, Y. H. Wong, B. Dennis and A. Pinczuk, “Nitrogen plasma annealing for low temperature Ta2O5 films,” Appl. Phys. Lett., Vol. 72, No. 11, pp. 1308-1310, 1998. [31] S. J. Chang, J. S. Lee, J. F. Chen, S. C. Sun, C. H. Liu, U. H. Liaw and B. R. Huang, “Improvement of electrical and reliability properties of tantalum pentoxide by high-density plasma (HDP) annealing in N2O,” IEEE Electron Device Lett., Vol. 23, No. 11, pp. 643-645, 2002. 133 Chapter Summary and Future Works Chapter Summary and Future Works 6.1. Summary In this thesis, HfO2 based high-κ MIM capacitors have been thoroughly investigated. These have been accomplished by preparing HfO2 based high-κ films using various preparation methods such as pulsed-laser deposition (PLD), sputtering, atomic-layer-deposition (ALD) and studying the material and electrical properties of these high-κ films. The important findings and conclusions obtained in the course of the studies can be summarized as the following: 1) HfO2 high-κ dielectrics prepared by PLD have been fabricated for MIM capacitors application for the first time with superior electrical performance; a good understanding of process-structure-property correlation for HfO2 film processing has been achieved. 2) RF characteristics of HfO2 based high-κ MIM capacitors were investigated; the results indicate that HfO2 based high-κ materials exhibit good capacitancefrequency dependency, i.e. the dielectric constant of HfO2 remains almost constant in the entire frequency range up to 20 GHz. In addition, thickness dependence of stress induced leakage currents and voltage linearity for HfO2 MIM capacitors have been discussed with the help of an equivalent circuit model, which are proposed to be in the origin of traps generation in the dielectric films. 134 Chapter Summary and Future Works 3) ALD prepared high-κ HfO2-Al2O3 MIM capacitors using laminate, stack and sandwich structures were characterized. The electronic conduction mechanism, voltage coefficients of capacitance (VCCs) dependence, etc have been investigated in detail. In comparison with stack and sandwich structures, the laminate structure is superior in terms of electrical performance. In addition, ALD technique shows the advantages of film quality, uniformity, and the ease of dielectric structure engineering over PLD and PVD methods. 4) Finally, a free carrier injection model has been established successfully to explore the VCCs’ mechanism for high-κ MIM capacitors using HfO2 as the dielectric. It has been revealed that the thickness dependence of VCCs, which exhibits a relation of α ∝ t−n (n~2), is an intrinsic problem due to the electrical field increment when the dielectric film is scaled down. In addition, the frequency dependences of VCCs, and the stress modified VCCs have been also discussed using the free carrier model. It is proposed that the VCCs variations are attributed to the change of relaxation time, which is in the origin of carrier mobility and carrier concentration change in the dielectric film. 6.2. Future works There are a few aspects the author thinks would be worth for further investigation: 1) HfO2 films processing In this work, HfO2 films are in their as-deposited states without any postdeposition treatment, traditional furnace annealing in this work was found to be less effective for the quality improvement of thick HfO2 films which might be due 135 Chapter Summary and Future Works to the allowable thermal budget in back-end of line (BEOL) integration. Therefore, it is worthwhile to develop some novel thermal treatment schemes, such as multideposition and multi-annealing method, high density plasma annealing process, and so on. 2) Dielectrics properties engineering The reported VCCs and temperature coefficient of capacitance (TCCs) for high-κ dielectrics are much larger than those of SiO2 and Si3N4. Considering the positive VCC and TCC values of most high-κ dielectrics, it would be nice to search alternative dielectrics in possession of negative VCCs and TCCs values, and incorporating those dielectrics into current high-κ systems to achieve low TCCs and VCCs values. 3) VCCs mechanism study In this work, our current results indicate that the VCCs dependence on many parameters such as thickness, frequency, and electrical stress is mainly a bulk effect. However, it is believed that the metal/dielectric interface may also play a role affecting the VCCs. The effects of the interface properties on the VCCs needs more study. In addition, the extent of capacitance variation is shown to be dependent on the bias polarity, how could this by affected by each leakage component (like Schottky emission, Poole-Frenkel conduction and so on.) need further investigation. 4) Integration of HfO2 based dielectrics In the current technology node, HfO2 based dielectrics needs to be put into Cu/lowκ BEOL process for their real use, the process related issues like the proper choice of metal stacks, the compatibility with low-κ material, the effects of plasma 136 Chapter Summary and Future Works etching on high-κ dielectrics, and so on, are useful topics for integration of HfO2 based materials. 137 List of Publications LIST OF PUBLICATIONS 1. H. Hu, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and W. K. Choi, “A high performance MIM capacitor using HfO2 dielectrics,” IEEE Electron Device Lett., Vol. 23, pp. 514-516, 2002. 2. H. Hu, C Zhu, Y. F. Lu, Y. H. Wu, T. Liew, M. F. Li, B.J. Cho, W. K. Choi, and N. Yakovlev, “Physical and electrical characterization of HfO2 metal-insulatormetal capacitors for Si analog circuit applications,” J. Appl. Phys., Vol. 94, No. 1, pp. 551-557, 2003. 3. H. Hu, C. Zhu, X. Yu, A. Chin, M. F. Li, B. J. Cho, and D. -L. Kwong, “MIM capacitors using atomic-layer-deposited high-κ (HfO2)1-x(Al2O3)x dielectrics,” IEEE Electron Device Lett., Vol. 24, pp. 60-62, 2003. 4. X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D. -L. Kwong, F. D. Foo, and M. B. Yu, “A high density MIM capacitor (13 fF/µm2) using ALD HfO2 dielectrics,” IEEE Electron Device Lett., Vol. 24, pp. 63-65, 2003. 5. H. Hu, C. Zhu, Y. F. Lu, J. N. Zeng, Y. H. Wu, T. Liew, M. F. Li, and W. K. Choi, “Material and electrical characterization of HfO2 films for MIM capacitors application,” Mat. Res. Soc. Symp. Proc. Vol. 766, E.3.3.1, 2003. 6. X. Yu, C. Zhu, H. Hu, A. Chin, M. F. Li, B. J. Cho, D. -L. Kwong, P. D. Foo, and M. B. Yu, “MIM capacitors with HfO2 and HfAlOx for Si RF and analog applications,” Mat. Res. Soc. Symp. Proc. Vol. 766, E.5.9.1, 2003. 7. H. Hu, S. –J. Ding, H. F. Lim, C. Zhu, M. F. Li, S. J. Kim, X. Yu, J. H. Chen, Y. F. Yong, B. J. Cho, D. S. H. Chan, Subhash C Rustagi, M. B Yu, C. H Tung, A. Y. Du, D. My, P. D. Foo, A. Chin, and D.-L. Kwong, “High performance ALD HfO2138 List of Publications Al2O3 laminate MIM capacitors for RF and mixed signal IC applications,” in Proc. of IEDM, pp. 379-382, 2003. 8. C. Zhu, H. Hu, X Yu, S. J. Kim, A. Chin, M. F. Li, B. J. Cho, and D. -L. Kwong, “Voltage and temperature dependence of capacitance of high-κ HfO2 MIM capacitors: A unified understanding and prediction,” in Proc. of IEDM, pp. 879882, 2003. 9. H. Hu, S. –J. Ding, C. Zhu, Y. F. Lu, M. F. Li, B. J. Cho, and D. S. H. Chan, S. C. Rustagi, M. B. Yu, A. Chin, and D. -L. Kwong, “Investigation of PVD HfO2 MIM capacitors for Si RF and mixed signal ICs application,” International Semiconductor Device Research Symposium (ISDRS), pp. 328-329, 2003. 10. S. –J. Ding, H. Hu, S. J. Kim, H. F. Lim, C. Zhu, M. F. Li, B. J. Cho, D. S. H. Chan, S. C. Rustagi, M. B. Yu, A. Chin, D. –L. Kwong, “High performance MIM capacitor using ALD high-κ HfO2-Al2O3 laminate dielectrics,” IEEE Electron Device Lett., Vol. 24, pp. 730-732, 2003. 11. S. –J. Ding, H. Hu, H. F. Lim, S. J. Kim, X. F. Yu, C. Zhu, M. F. Li, B. J. Cho, D. S. H. Chan, S. C. Rustagi, M. B. Yu, A. Chin, D. –L. Kwong, “DC, RF, and reliability characteristics of atomic layer deposited HfO2-Al2O3 laminate MIM capacitors for Si RF IC applications,” IEEE Trans. on Electron Devices Vol. 51, pp. 886-894, 2004. 12. S. –J. Ding, H. Hu,, C. Zhu, M. F. Li, S. J. Kim, B. J. Cho, S. H. Chan, M. B. Yu, A. Y. Du, A. Chin, D. –L. Kwong, “Evidence and understanding of atomic-layerdeposited HfO2-Al2O3 laminate MIM capacitors outperforming sandwich counterparts,” accepted by IEEE Electron Device Lett. 139 [...]... Kocis, G Hueckel, E Eld, T Bartush, R Groves, N Greco, D Harame, and T Tewksbury, High reliability metal insulator metal capacitors for silicon germanium analog applications, ” in Proc of BCTM, pp 191-194, 1997 [29] T Yoshitomi, Y Ebuchi, H Kimijama, T Ohguro, E Morifuji, H S Momose, K Kasai, K Ishimaru, F Matsuoka, Y Katsumata, M Kinugawa, and H Iwai, High performance MIM capacitor for RF BiCMOS LSIs,”... M B Yu, C H Tung, A Y Du, D My, P D Foo, A Chin, and D -L Kwong, High performance ALD HfO2-Al2O3 laminate MIM capacitors for RF and mixed signal IC applications, ” in Proc of IEDM, pp 379-382, 2003 20 Chapter 1 Introduction of High- κ MIM Technology [47] F Mondon, and S Blonkowski, “Electrical characterization and reliability of HfO2 and Al2O3-HfO2 MIM capacitors, ” Microelectronics Reliability, Vol 43,... Cho, C S Kang, S J Rhee, Y H Kim, R Choi, C Y Kang, C H Choi, and M Abkar, High- κ dielectrics and MOSFET characteristics,” in Proc of IEDM, pp 95-98, 2003 [43] H Hu, C Zhu, Y F Lu, M F Li, B J Cho, and W K Choi, “A High Performance MIM Capacitor Using HfO2 Dielectrics,” IEEE Electron Device Lett., Vol 23, No 9, pp 514-516, 2002 [44] S J Kim, B J Cho, M F Li, C Zhu, A Chin, and D.-L Kwong, “HfO2 and lanthanide-doped... straightforward, putting high- κ MIM capacitors into practical use may be problematic until a clear understanding and thorough research have been done The main challenges and problems for high- κ materials to be used in MIM capacitors are as follows: 1 Suitable high- κ systems for MIM capacitors need to be identified 2 The effects of fabrication techniques, structures, thermal treatment on high- κ MIM capacitors. .. the RF performance of high- κ MIM capacitors have been studied for Al2O3 based dielectrics up to 20 GHz A mathematical method was recently proposed for the computation of VCCs in RF regime for Al2O3 based dielectrics [40] However, the low thermal budget in the fabrication of those Al2O3 based high- κ materials is probably responsible for their marginal electrical performance HfO2 has the advantages of high. .. Introduction of High- κ MIM Technology [40] M Y Yang, C H Huang, A Chin, C Zhu, M F Li, and D -L Kwong, “Highdensity MIM capacitors using AlTaOx dielectrics,” IEEE Electron Device Lett., Vol 24, No.5, pp.306-308, 2003 [41] M Y Yang, C H Huang, A Chin, C Zhu, B J Cho, M F Li, and D -L Kwong, “Very high density RF MIM capacitors (17 fF/µm2) using High- κ Al2O3 doped Ta2O5 Dielectrics,” IEEE Microwave and wireless... general band gap reduction with the increase of κ value for dielectrics is a limitation that must be considered when selecting a 8 Chapter 1 Introduction of High- κ MIM Technology suitable high- κ material for MIM capacitor application [33, 34] The decrease in band gap is usually coupled with the reduction of breakdown voltage for the dielectric materials [35] Among various high- κ candidates for MIM capacitors. .. Integrated Circuits in RF Systems, pp 64-70, 2001 [14] A M Niknejad, and R G Meyer, Design, simulation and applications of inductors and transformers for Si RF ICs (Kluwer Academic Publishers, 2000) [15] A Kar-Roy, C Hu, M Racanelli, C A Compton, P Kempf, G Jolly, P N Sherman, J Zheng, Z Zhang, and A Yin, High density metal insulator metal capacitor using PECVD nitride for mixed signal and RF circuits,” Int... physical thicker high- κ dielectric films may potentially improve the overall electrical performance In the search to find suitable high- κ dielectrics, Figure 1.1 presents a compilation of a few potential high- κ dielectric candidates indicating the relationship of dielectric constant versus band gap This provides a simple criterion of selecting suitable high- κ materials as the dielectrics for MIM capacitors. .. high- κ materials for gate oxides applications The reliability assessments for high- κ MIM capacitors could be found for HfO2 based high- κ dielectrics in [46, 47] and for Ta2O5 in [49] Among them, HfO2Al2O3 laminate and pure Ta2O5 MIM capacitors both show promising reliability characteristics for 10-year lifetime under optimized process conditions [46, 49] The conduction mechanism in dielectric insulating . this work, our focus is on high- κ MIM capacitors integrated into BEOL process for Si RF and analog applications, which is much different with the requirements of MIM capacitors in MMICs and DRAM. advances in wired and wireless communication trigger demands for high quality passive devices for radio frequency (RF) and mixed signal applications, and Chapter 1 Introduction of High- κ MIM Technology. High- κ Dielectric MIM Capacitors for Silicon RF and Analog Applications HU HANG (M. Sc., Jilin University) A thesis submitted in partial fulfillment of the requirements for

Ngày đăng: 16/09/2015, 17:11

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan