In situ measurement and control of photoresist processing in lithography

116 299 0
In situ measurement and control of photoresist processing in lithography

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

In-situ Measurement and Control of Photoresist Processing in Lithography HU NI (B.Eng.,HUST) A THESIS SUBMITTED FOR THE DEGREE OF DOCTOR OF PHILOSOPHY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING NATIONAL UNIVERSITY OF SINGAPORE 2007 Acknowledgments I would like to express my sincere appreciation to my supervisors, Professor Arthur Tay and Professor Ho Weng Khuen, for their excellent guidance and gracious encouragement through my graduate research. I have benefited tremendously from the many discussions I had with them, without whose help this thesis would have been impossible. I am also indebted to them for their care and advice not only in my academic research but also in my daily life. It is also my great pleasure to thank Dr Chen Xiaoqi and Ms Zhou Ying who have in one way or another given me their kind help. I am also extremely thankful to my friends and colleagues: Dr Fu Jun, Wu Xiaodong, Kiew Choon Meng, Wang Yuheng, Chen Ming, Lim Li Hong, Liu Min, Ye Zhen, Lai Junwei, Yan Han, Feng Yong, and many others working in the Advanced Control Technology Lab. I enjoyed very much the time spent with them. I also would like to thank the National University of Singapore for the research facilities and scholarship. I express my gratitude to my parents and brother for their love and support. I i would have never reached so far in life without their constant encouragement and support. Last but not the least, I wish to express my deepest gratitude and love to my husband, Mr. Cao Xiao, for being so understanding and supportive throughout. ii Contents Acknowledgements i Summary vii List of Tables ix List of Figures xiii Introduction 1.1 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.2 Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3 Organization . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Detection of Wafer Warpage using a Single-zone Bakeplate 2.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . iii 12 13 13 2.2 Thermal Processing in Lithography . . . . . . . . . . . . . . . . . . 16 2.3 Thermal Modeling of a Single-zone System . . . . . . . . . . . . . . 19 2.4 Experimental Demonstration . . . . . . . . . . . . . . . . . . . . . . 24 2.4.1 Determination of Bakeplate Parameters . . . . . . . . . . . . 26 2.4.2 Warpage Fault Detection . . . . . . . . . . . . . . . . . . . . 28 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 2.5 Estimation of Wafer Warpage Profile using a Multi-zone Bakeplate 32 3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 32 3.2 Thermal Modelling of a Multi-zone System . . . . . . . . . . . . . . 35 3.3 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . 40 3.3.1 Experimental Setup . . . . . . . . . . . . . . . . . . . . . . . 41 3.3.2 Initialization Phase . . . . . . . . . . . . . . . . . . . . . . . 43 3.3.3 Warpage Profile Estimation . . . . . . . . . . . . . . . . . . 47 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 3.4 An In-situ Approach to Real-time Spatial Control of Steady-state Wafer Temperature 52 iv 4.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 52 4.2 Modelling . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 56 4.3 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . 59 4.3.1 Initialization Phase . . . . . . . . . . . . . . . . . . . . . . . 60 4.3.2 Steady-state Wafer Temperature Uniformity Control . . . . 62 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 67 4.4 Real-time Spatial Control of Photoresist Development Process 68 5.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 68 5.2 Proposed Approach . . . . . . . . . . . . . . . . . . . . . . . . . . . 70 5.2.1 Experimental Setup . . . . . . . . . . . . . . . . . . . . . . . 71 5.2.2 Photoresist Thickness Estimation . . . . . . . . . . . . . . . 74 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . 77 5.3.1 Experimental Conditions . . . . . . . . . . . . . . . . . . . . 78 5.3.2 Control of Photoresist Development . . . . . . . . . . . . . . 80 Conclusions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 83 5.3 5.4 Conclusions 85 v 6.1 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 85 6.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 References 90 Appendix 98 A Detailed Derivation of the Plate Temperatures 98 Author’s Publications 101 vi Summary Lithography is the key enabling technology in semiconductor manufacturing. The economic feasibility of all future lithography techniques will depend on the satisfaction of increasingly stringent error tolerances in Critical Dimension (CD) uniformity across the wafer. In this thesis, in-situ measurement and real-time control techniques are used in lithography to detect wafer warpage, control wafer temperature uniformity and photoresist development uniformity. These are important factors that can affect the final CD. Warpage can affect device performance, reliability and CD control in various microelectronic manufacturing processes. Based on first principle thermal modelling and system identification techniques, an in-situ approach is developed for detecting wafer warpage and estimating warpage profile from available temperature measurements of bakeplate. The proposed approach does not require extra processing steps, as compared to conventional off-line methods. A programmable multi-zone thermal processing system is used for real-time steady-state wafer temperature control. By estimating the average air-gap be- vii tween wafer and bakeplate in each zone, the wafer temperature can be estimated. Spatial wafer temperature uniformity at steady-state is achieved through real-time correction of the bake-plate temperatures. Temperature across the wafer is spatially controlled to its desired value. On average, wafer temperature non-uniformity of less than 0.10 C is obtained at steady-state. A real-time control strategy is implemented for a deep ultra-violet (DUV) photoresist development process to achieve development end-time uniformity. Using in-situ resist thickness estimation and Proportional-Integral (PI) control, the resist thickness non-uniformity and development end-time non-uniformity is reduced by manipulating the development temperature distribution in real-time through an integrated bake/chill system. A × improvement in the end-time uniformity across wafer has been achieved. viii List of Tables 1.1 Lithography technology requirements . . . . . . . . . . . . . . . . . 1.2 Temperature control requirement for thermal processing steps. . . . 1.3 PEB resist sensitivity to temperature for each lithography generation. 2.1 Warpage fault detection. . . . . . . . . . . . . . . . . . . . . . . . . 29 3.1 Thermophysical properties of the thermal processing system. . . . . 42 3.2 Thermal capacitances and resistances of the baking system. . . . . . 45 3.3 Estimation of air-gaps. . . . . . . . . . . . . . . . . . . . . . . . . . 48 4.1 Estimation of air-gaps . . . . . . . . . . . . . . . . . . . . . . . . . 65 4.2 Performance comparison of proposed steady-state wafer temperature control and conventional method. Experimental runs (i)(iii) correspond to conventional approach. Experimental runs (ii)(iv) correspond to proposed temperature control approach. . . . . . . . ix 65 estimated using the maximum temperature drop of the bakeplate. After estimating the average air-gap, the corresponding bakeplate temperature is computed and controlled to achieve the desired steady-state wafer temperature. Temperature across the wafer has been spatially controlled to reach its desired value of 90◦ C. On average, wafer temperature non-uniformity of less than 0.1◦ C was obtained at steady-state. With the proposed approach, the mean square error of the steadystate wafer temperature across the wafer was reduced to less than 0.1. The ability to control temperature spatially in this work is due to the fact that our bakeplate is a multi-zone one and the heater power distribution in each zone can be controlled independently by using temperature feedback and PI control algorithm. This is one advantage over conventional baking system where only single-zone bakeplate is used. Compared to conventional approach in which any correction for wafer temperature is based on run-to-run techniques, the proposed approach is real-time and can correct for any variations in the desired steady-state wafer temperature. In Chapter 5, a real-time control strategy is implemented for a DUV photoresist development process to achieve good resist thickness uniformity and development end-time uniformity. An array of optical probes in a spectrometry system is used to obtain the reflected light intensity profiles from resist film during the development process. DUV photoresist film thickness at different sites across the wafer are then estimated in real-time by analyzing reflected light signals using Least Square Estimation Technique. The ability to estimate the resist thickness in real-time is vital for a controller to work well because resist thickness serves as a feedback path containing the development information on how the process is going on. With 87 feedback of these in-situ resist thickness estimation, the temperature profile of bakeplate is controlled in real-time by manipulating the heater power injected into the integrated bake/chill system using PI control algorithm. Development is a chemical process, which is sensitive to temperature. The feasibility of controlling the development process is due to the fact that temperature can affect photoresist development rate. The ability to control development temperature spatially gives a uniform resist thickness distribution across the wafer during the development process. A × improvement in the end-time uniformity across wafer has been achieved. The proposed approach addresses a challenging problem by controlling the development process of a DUV photoresist which will be a necessity for the DUV photolithography processes in 0.25-µm or smaller feature patterning applications. 6.2 Future Work The focus of this thesis is on the baking and development processes in lithography. The lithography sequence in Figure 1.1 is also used in mask making by transferring the image of the IC layout to the chromium on the quartz glass. Hence, temperature uniformity control and development process control for wafer processing discussed in this thesis can also be extended to photomask processing. It is known that wafer warpage can cause non-uniform temperature distribution across the wafer affecting CD control. It has been demonstrated in Chapter that 88 wafer temperature can be estimated and controlled in real-time by manipulating the bake-plate temperature. A possible future work is to apply the wafer temperature control technique introduced in Chapter to compensate CD variation caused by wafer warpages. Development rate is a function of both temperature and pH value of the developer solution. In Chapter 5, it has been demonstrated that the development process uniformity can be controlled by manipulating the temperature distribution. For future generation of resist, as the process specification is tighter, both temperature and pH value of developer solution might be required to control the development process. A possible future work is to control the development process uniformity by manipulating both temperature and pH value of the developer solution. For example, if the development rate is too slow, a higher concentration developer solution and higher temperature can be used to increase the development rate, and vice visa. 89 Bibliography [1] Xiao H., Introduction to Semiconductor Manufacturing Technology, PrenticeHall, 2001. [2] Plummer J. D., M. D. Deal and P. B. Griffen, Silicon VLSI Technology, Prentice-Hall, 2000. [3] Sheats J. R. and B. W. Smith, Microlithography: Science and Technology, Marcel Dekker, 1998. [4] Zhou Y., “Detection of Substrate Warpage during Lithography”, Master Dissertation, National University of Singapore, 2004. [5] Quirk M. and J. Serda, Semiconductor Manufacturing Technology, PrenticeHall, 2001. [6] Edgar T. F., S. W. Bulter, W. J. Campbell, C. Bode, S. B. Hwang and K. S. Balakrishnan, “Automatic Control in Microelectronics Manufacturing: Practices, Challenges, and Possibilities”, Automatica, Vol. 36, pp. 1567-1603, 2000. 90 [7] Limanond S., J. Si and K. Tsakalis, “Monitoring and Control of Semiconductor Manufacturing Processes”, IEEE Control System Magazine, Vol. 18, no. 6, pp. 46-58, 1998. [8] “International Technology Roadmap for Semiconductors: Lithography”, Semiconductor Industry Association, 2006. [9] Murray R. M., K. J. Astrom, S. P. Boyd, R. W. Brokell and G. Stein, “Future Directions in Control in An Information Rich World”, IEEE Control System Magazine , Vol. 23, no. 2, pp. 20 - 23, 2003. [10] Rashap B. A., M. E. Elta, H. Etemad, J. P. Fournier, J. S. Freudenberg, M. D. Giles, J. W. Grizzle, P. T. Kabamba, P. P. Kargonekar, S. Lafortune, J. R. Moyne, D. Teneketzis and F. L. Terry, “Control of Semiconductor Manufacturing Equipment: Real-Time Feedback Control of a Reactive Ion Etcher”, IEEE Transactions on Semiconductor Manufacturing, Vol. 8, no. 3, pp. 286297, 1995. [11] Hankinson M., T. Vincient, K. B. Irani and P. P. Khargenekar, “Intergrated Real-Time and Run-to-Run Control of Etch Depth in Reactive Ion Etching”, IEEE Transactions on Semiconductor Manufacturing, Vol. 10, no. 1, pp. 121130, 1997. [12] Ku C-Y., T. F. Lei, J. M. Shieh, T. B. Chiou and Y. C. Chen, “Real-Time Control to Prevent CD Variation Induced by Post Exposure Delay”, Proc. SPIE, Vol. 4182, pp. 40-47, 2000. 91 [13] EI-Awady K., C. Schaper and T. Kailath, “Improvements in Cpk using Realtime Feedback Control”, IEEE Transactions on Semiconductor Manufacturing, Vol. 9, no. 1, pp. 87-94, 1996. [14] Patterson O. D. and P. P. Khargonekar, “Methodology for Real-time Feedback Variable Selection for Manufacturing Process Control: Theoretical and Simulation Results”, Proc. SPIE, Vol. 3507, pp. 30-41, 1998. [15] Rubloff G. W., “In-Situ Metrology: the Path to Real-Time Advanced Process Control”, AIP Conference Proceedings, Vol. 683, pp. 583-591, 2003. [16] Sarfaty M., A. Shanmugasundram, A. Schwarm, J. Paik, J. M. Zhang, R. Pan, M. J. Seamons, H. Li, R. Hung and S. Parikh, “Advance Process Control Solutions for Semiconductor Manufacturing”, IEEE/SEMI Advanced Semiconductor Manufacturing Conference, pp. 101 - 106, 30 April-2 May, 2002. [17] Parker J. and W. Renken, “Temperature Metrology for CD Control in DUV Lithography”, Semiconductor International, Vol. 20, no. 10, pp. 111-116, 1997. [18] Levinson H. J., Lithography Process Control, SPIE Optical Press, 1999. [19] Leang S., S. Ma, J. Thompson, B. J. Bombay and C. J. Spanos, “A Control System for Photolithographic Sequences”, IEEE Transactions on Semiconductor Manufacturing, Vol. 9, no. 2, pp. 191-207, 1996. [20] Hisai A., K. Kaneyama and C. Pieczulewski, “Optimizing CD Uniformity by total PEB Cycle Temperature Control on Track Equipment”, Advances in 92 Resist Technology and Processing XIX, Proceedings of SPIE, Vol. 4690, pp. 754-760, 2002. [21] Tay A., W. K. Ho and Y. P. Poh, “Minimum-Time Control of Conductive Heating Systems for Microelectronics Processing”, IEEE Transactions on Semiconductor Manufacturing, Vol. 14, no. 4, pp. 381-386, 2001. [22] Lee L. L., C. Schaper and W. K. Ho, “Real-time Predictive Control of Photoresist Film Thickness Uniformity”, IEEE Transactions on Semiconductor Manufacturing, Vol. 15, no. 1, pp. 51-60, 2002. [23] Tay A., W. K. Ho, J. W. Deng and B. K. Lok, “Control of photoresist film thickness: Iterative feedback tuning approach”, Computers and Chemical Engineering, Vol. 30, pp. 572-579, 2006. [24] Tay A., W. K. Ho and X. D. Wu, “Real-time Control of Photoresist Extinction Coefficient Uniformity in Microlithography”, IEEE Transaction on Control Systems Technology, Vol. 15, no. 1, pp. 99-105, 2007. [25] Ho W. K., L. L. Lee, A. Tay and C. Schaper, “Resist Film Uniformity in the Microlithography Process”, IEEE Transactions on Semiconductor Manufacturing, Vol. 15, no. 3, pp. 323-330, 2002. [26] Kyoda H., A. Okouchi, H. Takeguchi, H. W. Kim, T. Yamamoto and K. Yoshihara, “Improvement of CD Controllability in Developer Process”, Proc. SPIE, Vol. 5039, pp. 1353-1365, 2003. 93 [27] Morton S. L., “Ultrasonic Sensor for Photoresist Process Monitoring”, Ph.D Dissertation, Standford University, 1999. [28] Hendrix M., S. Drews and T. Hurd, “Advantages of Wet Chemical Spin-processing for Wafer Thinning and Packaging Applications”, in 26th IEEE/CPMT International Symposium on Electronics Manufacturing Technology, pp. 229-236, 2000. [29] Kishino S., H. Yoshida and H. Niu, “Optimizing gettering conditions for VLSI chips using simple yield model”, IEEE Transactions on Semiconductor Manufacturing, Vol. 6, no. 3, pp. 251-257, 1993. [30] Fukui T., H. Kurita and N. Makino, “Warpage of InP wafers”, International Conference on Indium Phosphide and Related Materials, pp. 272-275, 1997. [31] Seegar D., “Chemically Amplified Resists for Advanced Lithography: Road to Success or Detour?”, Solid State Technology, Vol. 40, no. 6, pp. 114-121, 1997. [32] Huff H., R. Goodall, R. Nilson and S. Griffiths, “Thermal Processing Issues for 300 mm Silicon Wafers: Challenges and Opportunities”, ULSI Science and Technology VI, Proceedings of the Electrochemical Society, pp. 135-181, 1997. [33] Hai D., E. P. Reinhard, R. H. Carl and I. C. Ume, “Warpage Measurement Comparison Using Shadow Moire and Projection Moire Method”, Electronic Components and Technology Conference, 2002. 94 [34] Poduje N. S. and W. A. Balies, “Wafer Geometry Characterization: An overview I”, Microelectronic Manufacturing and Testing, Vol. 11, no. 6, pp. 29-32, 1988. [35] Wei S., S. Wu, I. Kao and F. P. Chiang, “Measurement of Wafer Surface using Shadow Moire Technique with Talbot Effect”, Journal of Electronic Packaging, Vol. 120, no. 2, pp. 166-170, 1998. [36] Fauque J. A. and R. D. Linder, “Extended Range and Ultra-precision noncontact Dimensional Gauge”, U.S. Patent 5789661, 1998. [37] EI-Awady K., “Spatially Programmable Thermal Processing Module for Semiconductors”, Ph.D. Dissertation, Stanford University, 2000. [38] Ozisik, M.N., “Heat Transfer-A Basic Approach”, McGraw-Hill, 1985. [39] Labview Basics Course Manual, 2000 Edition. [40] Raznjevic K., Handbook of Thermodynamic Tables and Charts, Hemisphere, Washington, 1976. [41] Franklin G. F., J. D. Powell and A. Emami-Naeini, Feedback Control of Dynamic Systems, Prentice-Hall, 2002. [42] Ljung L., System Identification: Theory for the user, Prentice-Hall, 1999. [43] Schwalm R., “Robust and Environmentally Stable Deep UV Positive Photoresist: Optimization of SUCCESS-ST2”, Proc. SPIE, Vol. 2195, pp. 2, 1994. 95 [44] Petersen J., Resist Processing Technology for DUV Lithography, Semicon West, 1997. [45] Mohondro R. and P. Gaboury, “Characterizing Coat, Bake, and Develop Processes”, Solid State Technology, Vol. 36, no. 7, pp. 87-90, 1993. [46] Ackmann P., S. Brown, R. Edwards, D. Downey, K. Turnquest and J. Nistler, “Use of Exposure Compensation to Improve Device Performance for Speed and Binning based on Electrical Parametric Feedback into Fabrication Design”, Proc. SPIE, Vol. 3057, pp. 384-390, 1997. [47] Edwards R., P. Ackmann, C. Fischer, M. Desrocher and M. Puzerewski, “Characterization of Autofocus Uniformity and Precision on ASML Steppers using Phase Shift Focus Monitor Reticle”, Proc. SPIE, Vol. 3051, pp. 448-455, 1997. [48] Steele D., A. Coniglio, C. Tang, B. Singh, S. Nip and C. Spanos, “Characterizing Post Exposure Bake Processing for Transient and Steady State Conditions, in the Context of Critical Dimension Control”, Metrology, Inspection, and Process Control for Microlithography XVI, Proceedings of SPIE, Vol. 4689, pp. 517-530, 2002. [49] Norton C., D. Marshall, M. Ameen, D. Whiteside, J. Hallock and A. Becknell, “Photoresist Properties During High Current Implantation: An I-line vs. DUV Resist Comparison”, IEEE Conference on Ion Implantation Technology, pp.813 - 816, Sept 17-22, 2000. 96 [50] Morton S. L., F. L. Degertekin, and B. T. Khuri-Yakub, “Ultrasonic Monitoring of Photoresist Processing”, Proc. SPIE, Vol. 3677, pp. 340-347, 1999. [51] Kiew C. M., A. Tay, W. K. Ho, K. W. Lim and Y. Zhou, “In-Situ Measurement and Control of Photoresist Development in Microlithography”, Instrumentation and Measurement Technology Conference, pp. 803-808, May 17-19, 2005. [52] Paniez P.J., A. Vareille, P. Ballet and B. Mortini, “Study of Bake Mechanisms by Real-time In-Situ Ellipsometry”, Proc. SPIE, Vol. 3333, pp. 289-300, 1998. [53] Fadda E., C. Clarisse, and P. J. Paniez, “Study of Bake Mechanisms in Novolak based Photoresist Films: Investigation by Contact Angle Measurements”, Proc. SPIE, Vol. 2714, pp. 460-468, 1996. [54] Metz T. E., R. N. Savage and H. O. Simmons, “In-Situ Film Thickness Measurements for Real-time Monitoring and Control of Advanced Photoresist Track Coating Systems”, Proc. SPIE, Vol. 1594, pp. 146-152, 1991. [55] Leang S. and C. J. Spanos, “A Novel In-situ Automated Metrology for Photolithography”, IEEE Transactions on Semiconductor Manufacturing, Vol. 9, no. 1, pp. 101-107, 1996. [56] Ocean Optics Inc., Product Catalog 2002. [57] Born M. and E. Wolf, Principles of Optics, Cambridge University Press, 1980. [58] Lin B.J., “A Double-exposure Technique to Macroscopically Control Submicrometer Linewidths in Positive Resist Images”, IEEE Transactions on Electron Devices, Vol. 25, no. 4, pp. 419 - 424, 1978. 97 Appendix A Detailed Derivation of the Plate Temperatures The appendix shows the derivations of Equations (4.11) and (4.12) from Equations (4.7) to (4.10). First, Θw1 (s) and Θw2 (s) in Equations (4.9) and (4.10) can be expressed as Cw2 rw12 Cw1 W2 (s) Θw1 (s) = θw1 (0) + θw2 (0) W (s) W (s) 1 W2 (s) ra1 rw12 ra2 + Θp1 (s) + Θp2 (s) W (s) W (s) Cw1 rw12 Cw2 W1 (s) Θw2 (s) = θw1 (0) + θw2 (0) W (s) W (s) 1 W1 (s) ra2 rw12 ra1 + Θp1 (s) + Θp2 (s) W (s) W (s) 98 (A.1) (A.2) where Rw1 W2 (s) = sCw2 + Rw2 W1 (s) = sCw1 + W (s) = W1 (s)W2 (s) − rw12 = Cw1 Cw2 s2 + (Cw1 1 1 + Cw2 )s + − Rw2 Rw1 Rw1 Rw2 rw12 The Laplace Transform of the two Proportional-Integral (PI) controllers are given as + 1)(0 − Θp1 (s)) sTI1 + 1)(0 − Θp2 (s)) q2 (s) = Kc2 ( sTI2 q1 (s) = Kc1 ( (A.3) (A.4) Substituting Equations (A.1), (A.2), (A.3) and (A.4) to Equations (4.7) and (4.8) gives G(s) θw1 (0) + E(s) I(s) Θp2 (s) = θw1 (0) + E(s) Θp1 (s) = H(s) θw2 (0) E(s) J(s) θw2 (0) E(s) where E(s) = C1 (s)C2 (s) − Rpp (s)D1 (s)D2 (s) Cw1 1 + Rpp (s)D2 (s)Cw1 ] ra1 rw12 ra2 1 Cw2 H(s) = sTI1 [C2 (s)Cw2 + Rpp (s)D2 (s)W1 (s) ] rw12 ra1 ra2 1 Cw1 I(s) = sTI2 [C1 (s)Cw1 + Rpp (s)D1 (s)W2 (s) ] rw12 ra2 ra1 Cw2 1 J(s) = sTI2 [C1 (s)W1 (s) + Rpp (s)D1 (s)Cw2 ] ra2 rw12 ra1 G(s) = sTI1 [C2 (s)W2 (s) 99 (A.5) (A.6) D1 (s) = W (s)sTI1 D2 (s) = W (s)sTI2 C1 (s) = B1 (s)sTI1 + W (s)Kc1 (1 + sTI1 ) C2 (s) = B2 (s)sTI2 + W (s)Kc2 (1 + sTI2 ) 1 )W (s) − W2 (s) Rp1 ra1 1 B2 (s) = (sCp2 + )W (s) − W1 (s) Rp2 ra2 1 Rpp (s) = + rp12 rw12 ra1 ra2 W (s) B1 (s) = (sCp1 + Next, we note that E(s) is an eighth-order polynomial of s with the first coefficient 2 E1 = (Cp1 Cp2 Cw1 Cw2 )TI1 TI2 . Finally, taking inverse Laplace Transform of Equations (A.5) and (A.6), θp1 (t) and θp2 (t) can be obtained as shown in Equations (4.11) and (4.12) where Pti(s) = Pt (s) s + (i = 1, 2, · · · , 8) (A.7) and Pt (s) = E(s) = (s + a1 )(s + a2 ) · · · (s + a8 ) E1 (A.8) where a1 , a2 , · · · , a8 are the roots of Pt (s) = 100 (A.9) Author’s Publications Journal Publications [1] Arthur Tay, Weng Khuen Ho, Ni Hu and Xiaoqi Chen, “Estimation of wafer warpage profile during thermal processing in microlithography”, Review of Scientific Instruments, Vol. 76, no. 7, pp. 075111-1-7, 2005. [2] Ni Hu, Arthur Tay and Kuen-Yu Tsai, “A fast in situ approach to estimating wafer warpage profile during thermal processing in microlithography”, Measurement Science and Technology, Vol. 17, no. 8, pp. 2233-2240, 2006. [3] Arthur Tay, Weng Khuen Ho and Ni Hu, “An in situ approach to real-time spatial control of steady-state wafer temperature during thermal processing in microlithography”, IEEE Transactions on Semiconductor Manufacturing, Vol. 20, no. 1, pp. 5-12, 2007. 101 Conference Publications [1] Weng Khuen Ho, Arthur Tay, Ying zhou, Kai Yang and Ni Hu, “Detection of Wafer Warpage during Thermal Processing in Microlithography”, the 8th International Conference on Control, Automation, Robotics and Vision, December 6-9, 2004, Kunming, China. [2] Arthur Tay, Weng Khuen Ho, Ni Hu and Ying Zhou, “Fault detection and estimation of wafer warpage profile during thermal processing in microlithography”, AICHE Annual Meeting (2004), November 7-12, 2004, Texas, Austin, U.S.A. [3] Arthur Tay, Weng Khuen Ho and Ni Hu, “Real-time spatial control of steadystate wafer temperature during thermal processing in microlithography”, Proceedings of SPIE, Vol. 6155, pp. 70-79, 2006. [4] Arthur Tay, Weng Khuen Ho, Ni Hu and Choon Meng Kiew, “Real-time monitoring and control of photoresist development process in microlithography”, AEC/APC Symposium XVIII, September 30-Oct 5, 2006, Westminster, Colorado, U.S.A. [5] Arthur Tay, Weng Khuen Ho, Ni Hu and Choon Meng Kiew, “Real-time spatial control of photoresist development rate”, SPIE (Advanced Lithography), 25 February-2 March 2007, San Jose, California, U.S.A. 102 [...]... a variety of temporal and spatial scales Run-to-run (R2R) control is currently the dominant strategy used in the manufacturing of integrated circuits due to lack of in- situ measurement To achieve demanding CD control tolerances, real-time control has to be employed [6] Realtime process control enables real-time adjustment of process parameters for each wafer during processing based on in- situ sensors... monitoring the conditions of the process chamber and/ or the film properties Real-time process control improves the process capability [10–14] in terms of stability, reliability, etc Real-time control requires in- situ measurement [6, 15] The shift of metrology from off-line towards in- situ sensors, provides in- time data for active process control and the elimination of wafer misprocessing The value of applying... processes typically run in open-loop with very little real-time process control utilizing in situ sensors In this thesis, in- situ measurement and real-time control is applied to some aspects of lithography including wafer warpage detection, temperature control and 4 photoresist development control (A) Wafer Warpage Detection Wafer warpage is common in microelectronics processing Wafer warpage can affect... reliability and linewidth or CD control in various microelectronic manufacturing processes It is one of the root causes leading to process and product failures Wafer warpage can also result in a non-uniform temperature distribution across the wafer in the baking process of lithography The noticeable trends of developing integrated circuits nowadays, in particular decreasing the size of elements and increasing... challenges of some aspects of advanced lithography is investigated In particular, the thesis addresses the following: (A) In- situ Fault Detection and Profile Estimation of Wafer Warpage A novel in- situ approach for fault detection and estimation of wafer warpage profile during the thermal processing steps in lithography is developed By using the knowledge that different air-gap between the wafer and bakeplate... available temperature measurements and a profile is obtained by joining these points The proposed approach also requires no extra processing steps and time, as compared to conventional off-line methods in which the wafer has to be removed from the processing equipment and placed in the metrology tool 9 (B) Real-time Spatial Control of Steady-state Wafer Temperature An in- situ method to control the steady-state... given in ITRS 2006 [8] that process control for CD uniformity represents a major challenge for lithography The International Panel on Future Directions in Control, Dynamics and Systems [9], has also identified that the use of control 3 is critical to future progress in semiconductor manufacturing Modelling plays a crucial role and control techniques must make use of more in- situ measurements to control. .. PAC and development rate, etc Temperature can be used to control those parameters Photoresist thickness uniformity control [22, 23] and PAC uniformity control [24] have been realized by manipulating the soft-bake temperature in real-time Real-time control for photoresist development is discussed in this thesis 8 1.2 Contributions In this thesis, the application of in- situ measurement and real-time control. .. development process by controlling the development temperature Conclusions and future work are given in Chapter 6 12 Chapter 2 Detection of Wafer Warpage using a Single-zone Bakeplate 2.1 Introduction Warped wafers are commonly found in microelectronics processing Wafer warpages of up to 260 µm are observed [28] in silicon thinning and stress relief Gettering and dislocation density in silicon wafers also... reducing the vibration and enhancing the fidelity of measurement An extended Range and Ultra-precision Non-contact Dimensional gauge was used for wafer contour measurement in [36] The dimension of the wafer is determined by combining the linear displacement gauge reading with an estimate of the air gap derived from a reading of the air nozzle backpressure This approach has the advantage of high measurement . con- trol and the elimination of wafer misprocessing. The value of applying Advanced Process Control (APC) for both wafer-to-wafer and within wafer control using in- tegrated metrology and in- situ. In- situ Measurement and Control of Photoresist Processing in Lithography HU NI (B.Eng.,HUST) A THESIS SUBMITTED FOR THE DEGREE OF DOCTOR OF PHILOSOPHY DEPARTMENT OF ELECTRICAL AND COMPUTER. make use of more in- situ measurements to control at a variety of temporal and spatial scales. Run-to-run (R2R) control is currently the dominant strategy used in the man- ufacturing of integrated

Ngày đăng: 14/09/2015, 12:50

Tài liệu cùng người dùng

Tài liệu liên quan