Application of deep ultraviolet lithography in magnetic nanostructures

201 236 0
Application of deep ultraviolet lithography in magnetic nanostructures

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

APPLICATION OF DEEP ULTRAVIOLET LITHOGRAPHY IN MAGNETIC NANOSTRUCTURES NAVAB SINGH (M.TECH., IIT Delhi) A THESIS SUBMITTED FOR THE DEGREE OF DOCTOR OF PHILOSOPHY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING NATIONAL UNIVERSITY OF SINGAPORE 2008 ACKNOWLEDGEMENTS First and foremost, I would like to sincerely thank my supervisor Assoc Prof Adekunle Adeyeye for giving me an opportunity to work under his supervision and excellent guidance during the course of this research He is a very charismatic person and has the ability to inspire anybody Indeed, after working for years in lithography, I would not have taken a research topic in magnetism, had not Dr Kunle shared his vision on magnetism in nanotechnology in a meeting where he proposed a collaborative project with my institute on magneto electronic devices I would also like to thank my co-supervisor Dr N Balasubramanian for supporting me to work in this new domain which is not a core research activity at my institute I would like to give a special thanks to my research group colleagues Dr Goolaup Sarjoosing and Dr Wang Chenchen for supporting me in sample preparation and sharing the characterization knowledge during the course of this study I would also like to thank Dr Debashish Tripathy and Dr Goolaup for unselfishly reading my thesis chapters and giving valuable comments I would like to thank my parents and relatives for always supporting me in all my endeavors I am thankful to my wife Aruna for her sweet love and continuous encouragement and to my lovely daughters Tapasya and Taniska for being a source of energy for me I would like to dedicate this thesis to my family in partial compensation of so much of the time taking away from them I would like to thank my boss Dr G.Q Lo, Patrick for continuous encouragement and to allow me taking long leaves for writing the thesis Lastly, I am grateful to the Institute of Microelectronics (IME) Singapore for permitting me to pursue this study with work i TABLE OF CONTENTS ACKNOWLEDGEMENTS i TABLE OF CONTENTS ii SUMMARY viii LIST OF FIGURES x LIST OF SYMBOLS AND ABBREVIATIONS xix STATEMENT OF ORIGINALITY xxii Chapter 1: INTRODUCTION 1.1 Background 1.2 Focus of this Thesis 1.3 Organization of this Thesis References Chapter 2: DEEP ULTRAVIOLET LITHOGRAPHY: An Overview 10 2.1 Introduction 10 2.2 Lithography Fundamentals 10 2.2.1 Illumination System 11 2.2.2 Mask/Reticle 12 2.2.3 Exposure System 13 2.2.4 Recording Medium ‘The Photoresist’ 14 2.3 Image Formation and Resolution ii 18 Table of Contents 2.3.1 Dense Patterns 20 2.3.2 Isolated Patterns 25 Image Modulation Contrast 25 2.4.2 Exposure Latitude 25 2.4.3 Normalized Image Log Slope 26 2.4.4 2.5 Image Qualification 2.4.1 2.4 23 Depth of Focus 27 Resolution Enhancement Techniques 27 2.5.1 Partially Coherent Illumination 27 2.5.2 30 Off-Axis Illumination 2.5.3 Phase Shift Masks 31 2.5.3.1 Attenuated Phase Shift Mask 32 2.5.3.2 Alternating Phase Shift Mask 34 2.5.3.3 Chromeless Phase Lithography Mask 38 2.6 The Concept of Immersion Lithography 40 2.7 Summary 41 References 42 Chapter 3: FABRICATION OF MAGNETIC NANOSTRUCTURES: With Advances in DUV Lithography 45 3.1 Introduction 45 3.2 Lithography and Metrology Tool Sets 45 3.3 Basic Lithography Process steps 46 iii Table of Contents 3.4 High Resolution Mask Design and Fabrication 48 3.5 Nanostructures Patterning with Hybrid PSM 51 3.5.1 Densely Packed Nanowires 51 3.5.1.1 Impact of Aperture Width 54 3.5.1.2 Impact of Chrome Width 56 3.5.1.2 Chrome-less Phase edge 57 3.5.2 Semi-dense and Isolated Nanowires 59 3.5.3 60 Densely Packed Dots 3.5.4 Isolated Dots 62 3.5.5 High Density Anti-rings 64 3.5.6 67 High Density Rings 3.6 Nanostructures using Double Exposure With Shift (DEWS) 69 3.7 Challenges with using Strong Phase Shift Masks 72 3.7.1 Intensity Imbalance 72 3.7.1.1 Reversed Focus Double Exposure Method 77 78 3.7.2.2 Effect of Resist Thickness 80 3.7.2.3 Effect of σ on Swing Amplitude 82 3.7.2.4 Aerial Image Simulations 3.8 Enhanced Swing Amplitude 3.7.2.1 Effect of Oxide Thickness 3.7.2 73 84 Lift-off and Magnetic Characterization iv 86 Table of Contents 3.9 Summary 90 References 92 Chapter 4: FABRICATION OF MAGNETIC NANOSTRUCTURES: Beyond Advances in DUV Lithography 95 4.1 Introduction 95 4.2 Template Fabrication 96 4.2.1 Templates for Magnetic Nanodots 96 4.2.2 Templates for Magnetic Nanorings 104 4.2.3 Templates for Magnetic Nanowires 107 Lift-off and Magnetic Characterization 109 4.3 4.4 Summary 112 References 113 Chapter MAGNETIC NANORINGS AND DERIVATIVES 114 5.1 Introduction 114 5.2 Background 115 5.3 Experimental Methods 116 5.4 Elongated Magnetic Rings 119 5.4.1 Spin-State Evolution 119 5.4.1.1 Effect of Film Thickness 123 5.4.1.2 Effect of shape Induced Magnetic Anisotropy 125 5.4.1.3 Angular Dependence of Coercivity 127 5.4.2 Magnetostatic Coupling v 128 Table of Contents 5.4.2.1 Effect of Inter-Ring Spacing 5.4.2.2 Effect of Ring Thickness 5.5 130 136 138 5.5.1 Micromagnetic Simulations 141 5.5.2 Magnetic Force Microscopy 143 5.5.3 Effect of Ni80Fe20 Film Thickness 5.6 Magnetic Ring Derivatives 145 Summary 147 References 148 Chapter 6: MAGNETIC ANTI-DOT MESOSTRUCTURES 150 6.1 Introduction 150 6.2 Background 150 6.3 Fabrication 152 6.4 Remanent Magnetic States 154 6.4.1 Anti-Ring Structures 154 6.4.2 Anti-U Structures 155 6.4.3 Anti-C Structures 156 6.5 Micromagnetic Simulations 158 6.5.1 159 Anti-Ring Structures 6.5.2 Anti-U Structures 6.5.3 Anti-C Structures 6.6 160 161 Magnetic Properties 162 vi Table of Contents 6.7 Summary 167 References 168 Chapter 7: CONCLUSION AND OUTLOOK 169 Appendix 173 List of Publications 173 vii SUMMARY The application of 248 nm Deep Ultraviolet (DUV) lithography is attempted for the fabrication of magnetic nanostructures in various shapes and sizes over a large area, allowing the characterization of magnetic properties using conventional magnetometers Hybrid Phase Shift Mask, containing alternating, chromeless and attenuated phase shifted regions on the same reticle blank, is implemented for patterning large area ordered homogenous sub-wavelength structures Solutions are developed to overcome the fabrication challenges in implementing strong phase shift masks (PSMs) A reversed focus double exposure process method is developed to suppress the intensity imbalance issues in phase shift mask technology Comprehensive investigation of the relationship between swing amplitude and pattern size using alternating PSM lithography is presented The existence of reverse swing with alternating PSM lithography, where bigger patterns are more seriously affected than smaller patterns, is demonstrated Double patterning and double exposure with shifts are implemented for density improvement and shape manipulation of magnetic nanostructures Nanofabarication process beyond the conventional limits of DUV is developed to fabricate sub-50nm magnetic nanostructures using silicon templates The nanostructures developed in resist and as silicon templates were converted into magnets by physical vapor deposition (e-beam evaporation and sputtering processes) and lift-off technique Resist fill and etch back technique was introduced to assist the lift-off on the silicon templates The magnetic properties in patterned nanomagnets have been systematically studied, as a function of various geometrical parameters, using a combination of characterization techniques and simulations tools viii Summary The magnetic properties in Ni80Fe20 magnetic nanostructures of complex geometrical shapes such as elongated-rings, and their derivatives are systematically investigated The transitions from “onion” to “vortex” or from “vortex” to reversed “onion” states, switching field, and the stability of the vortex state are found to be strongly dependent on the geometrical parameters such as inter-ring spacing and thickness of the rings For elongated rings, a marked variation in the hysteresis loops is observed due to the shape induced magnetic anisotropy Compared with the isolated rings of similar lateral dimensions, the closely packed ring arrays showed sharp transitions from the onion to vortex state due to collective magnetization reversal of the rings The range of stability of the vortex state is found to be smaller for closely packed ring arrays The magnetic properties and spin configurations in the ring derivatives, fabricated by removing different segments of the ring structure, are found to be strongly influenced by the segment that is removed This study has demonstrated that the transition regions of the magnetization can be accurately predicted and tailored in magneto-electronic devices The spin states and shape anisotropy in magnetic antidot mesostructures in complex shapes such as elongated anti-ring, anti-U and anti-C, were comprehensively investigated Detailed magnetization reversal reveals a very strong pinning of domain walls in the vicinity of the anti-structures, the strength of which was found to be strongly dependent on the anti-structure geometry and field orientation The experimental results obtained using vibrating sample magnetometer (VSM) are found to be in very good agreement with both the direct mapping using magnetic force microscopy (MFM) and micromagnetic simulations ix Magnetic Anti-Dot Mesostructures the remanent spin states are very similar Thus, it has shown that the magnetic patterns which are commonly found in isolated magnetic structures can be reproduced in a contiguous magnetic film through a careful selection of anti-structure patterns 6.6 MAGNETIC PROPERTIES In order to further understand the reversal mechanism in the anti-structures, a series of angular magnetization measurements were performed using VSM Shown in Fig 6.9(a) is the normalized magnetic hysteresis loop for the field applied along the major axis of the anti-ring structures One striking feature of the loop is that the magnetization reversal process is accompanied by two steps of sharp switching, due to the domain wall pinning effect introduced by the artificially created anti-ring array structure The sharp switching near the zero field is due to the reversal of the un-patterned area surrounding the anti-ring structure as shown in the sample layout in Fig 6.2(d) The reversal process at a higher field is dominated by the contiguous magnetic material in the vicinity of the anti-ring, as well as the central elliptical dots To elucidate the reversal behavior of the anti-ring structure, the near-zero field response of the un-patterned magnetic film surrounding the patterned area on the samples [Fig 6.2(d)] was measured on a specially prepared sample with no magnetic material in the patterned region and subtracted from the hysteresis loop as shown in the inset of Fig 6.9(a) Interestingly, a distinct double-switching process within the anti-ring array structure alone is again observed By looking at the half loop as the field is reduced from positive saturation (red circles), it can be observed that the 162 Magnetic Anti-Dot Mesostructures magnetization reduces gradually until zero field This could be attributed to the spin re-orientation in the contiguous area around the elongated holes, as evidenced in from the MFM and simulation results presented earlier in Fig 6.3(a) and Fig 6.6(a) respectively As the field reduced to about -30 Oe, the first sharp decrease in magnetization occurs The magnitude of drop in magnetization for this switching is about 0.27 as marked in the extracted curve, which is consistent with the magnetization contribution of a single central elliptical dot to the cell unit of the anti-ring array, about Magnetization (Norm) 0.3 in this case H 0.27 (a) -1 -1000 -1 -1000 -500 0 1000 -500 1000 Magnetization (Norm) Field (Oe) 1 H (b) -1 -1000 -1 -1000 -500 0 -500 1000 1000 Field (Oe) Fig 6.9: Normalized magnetic hysteresis loops of the anti-ring structures for field applied along the major axis (a) and minor axis (b) measured using VSM Inset shows the magnetization reversal of the anti-structures extracted from the corresponding measured hysteresis loops 163 Magnetic Anti-Dot Mesostructures This switching is attributed to the elliptical dots in the array evolving from single domain to vortex states After the completion of this switching, there is a plateau-like region before the second switching occurs The second sharp switching, occurring around the coercivity of the loop, is due to the reverse magnetization of the combination of the contiguous magnetic regions and the elliptical dots For the field along the minor axis, similar double-switching characteristic remains in the measured hysteresis curve, as shown in Fig 6.9(b), but with reduced remanent magnetization This drop in the remanent magnetization is mainly due to the magnetic state of the central elliptical dots adopting a vortex state configuration, in contrast to the single domain state for field applied along the major axis, as shown in Fig 6.3(b) As expected, for the extracted hysteresis loop shown in the inset of Fig 6.9(b), the double-switching characteristic is not observed It was also found that the pinning strength of the anti-ring structure is dependent on the field orientation Comparing the the extracted hysteresis loops in Fig 6.9(a) and Fig 6.9(b), the value of coercivity is found to be reduced from 145 Oe to 127 Oe due to the asymmetric geometry of the anti-structure For the anti-U structure, the double-switching characteristics of the magnetic hysteresis loops for both field orientations remain a prominent feature as shown in Fig 6.10 However, for the field applied along the major axis, the two-step switching characteristic of the anti-ring structure in the extracted curve was not observed in the corresponding anti-U structure, as shown in the inset of Fig 6.10(a) 164 Magnetization (Norm) Magnetic Anti-Dot Mesostructures H 0 (a) -1 -1000 -1 -1000 -500 0 -500 1000 1000 Magnetization (Norm) Field (Oe) 1 H (b) -1 -1000 -1 -1000 -500 0 -500 1000 1000 Field (Oe) Fig 6.10: Normalized magnetic hysteresis loops of the anti-U structures for field applied along the major axis (a) and minor axis (b) measured using VSM Inset shows the magnetization reversal of the anti-structures extracted from the corresponding measured hysteresis loops The pinning field strength imposed by the anti-structure was also found to vary with the geometry The coercivity for the field along the major axis is 118 Oe as shown in the extracted curve in Fig 6.10(a), which is smaller than that of the anti-ring structure For the field applied along the minor axis, as the pattern geometry is changed from anti-ring to anti-U, the coercivity is only slightly reduced to 122 Oe, as observed in the inset of Fig 6.10(b) The hysteresis loops of the anti-C structures for the field applied along the 165 Magnetic Anti-Dot Mesostructures major and minor axes are shown in Fig 6.11(a) and Fig 6.11(b) respectively As compared with the anti-U structure, the values of coercivity for the two field orientations correspondingly increase to 133 Oe and 140 Oe It is worth noting that the magnetic hysteresis loops shown in Fig 6.11(b) and Fig 6.9(b) have close resemblance in shape and features This suggests that a similar magnetization reversal Magnetization (Norm) process is involved, which is in agreement with the micromagnetic simulations H 0 (a) -1 -1000 -1 -1000 -500 0 500 1000 1000 Magnetization (Norm) Field (Oe) 1 H (b) -1 -1000 -1 -1000 -500 0 -500 1000 1000 Field (Oe) Fig 6.11: Normalized magnetic hysteresis loops of the anti-C structures for field applied along the major axis (a) and minor axis (b) measured using VSM Inset shows the magnetization reversal of the anti-structures extracted from the corresponding measured hysteresis loops 166 6.7 Magnetic Anti-Dot Mesostructures SUMMARY Ferromagnetic Ni80Fe20 anti-structures in anti-ring, anti-U and anti-C geometries were fabricated using DUV lithography and lift off process By tailoring the extent of the elongated holes encircling a contiguous magnetic film, the intrinsic magnetic anisotropy associated with the film could be completely dominated by the shape anisotropy introduced by the patterning process As a result of careful selection of the hole shapes, a wide range of distinctive local remanent magnetic states were observed from various anti-structure geometries for different field orientations The experimental results are also in very good agreement with micromagnetic simulations Detailed magnetization reversal mechanisms characterized by the magnetic hysteresis loops reveal a very strong pinning of domain walls in the vicinity of the anti-structures, the strength of which was strongly dependent on the anti-structure geometry and field orientation 167 Magnetic Anti-Dot Mesostructures References: [1] C C Wang, A O Adeyeye and N Singh, Nanotechnology, 17 1629 (2006) [2] R P Cowburn, A O Adeyeye, and J A C Bland, Appl Phys Lett 70 2309; 1997 J Magn Magn Mater 173 193 (1997) [3] A O Adeyeye, J.A.C Bland, and C Daboo, Appl Phys Lett 70, 3164 (1997) [4] I Ruiz-Feal, L Lopez-Diaz, A Hirohata, J Rothman, C M Guertler, J A C Bland, L M Garcia, J M Torres, J Bartolome, F Bartolome, M Natali, D Decanini, Y Chen, J Magn Magn Mater 242-245, 597 (2002) [5] A Yu Toporov, R M Langford, and A K Petford-Long, Appl Phys Lett 77 3063 (2000) [6] M Grimsditch, I Guedes, P Vavassori, B Ilic, P Neuzil, and R Kumar, J Appl Phys 89 7096 (2001) [7] L J Heyderman, F Nolting, and C Quitmann, Appl Phys Lett 83 1797 (2003) [8] U Welp, V K Vlasko-Vlasov, G W Crabtree, C Thompson, V Metlushko, and B Ilic, Appl Phys Lett 79 1315 (2001) [9] I Guedes, M Grimsditch, V Metlushko, P Vavassori, R Camley, B Ilic, P Neuzil, and R Kumar, Phys Rev B 62 11719 (2000) [10] C C Wang, A O Adeyeye, and Y H Wu, J Appl Phys 97 10J902 (2005) [11] C T Yu, H Jiang, L Shen, P J Flanders, and G J Mankey, J Appl Phys 87 6322 (2000) [12] M J Donahue and D G Porter, http://math.nist.gov/oommf (Version 1.2.0.3) 168 CONCLUSION AND OUTLOOK In the course of this thesis, the application of 248 nm KrF Deep Ultraviolet (DUV) lithography is attempted for the fabrication of magnetic nanostructures in various shapes over a very large area Hybrid Phase Shift Mask, containing alternating, chromeless and attenuated phase shifted regions on same reticle blank, is implemented for patterning large area ordered homogenous sub-wavelength structures Solutions are developed to overcome the fabrication process challenges in implementing strong phase shift masks (PSMs) A process method is developed to suppress the intensity imbalance issues in phase shift masks technology It utilizes double exposure at reversed focus to nullify the intensity imbalance issue caused by the phase errors Comprehensive investigation of the relationship between swing amplitude and pattern size using alternating PSM lithography is presented The existence of reverse swing with alternating PSM lithography, where bigger patterns are more seriously affected than smaller patterns, is demonstrated Based on swing study suggestions are made to use big features for resist thickness selection while using alternating PSM Double patterning and double exposure with shifts are implemented for density improvement and shape manipulation of magnetic nanostructures Nanofabarication process beyond the conventional limits of DUV is developed to fabricate sub-50nm magnetic nanostructures using Silicon templates 169 Conclusion and Outlook The nanostructures developed in resist using DUV lithography were converted into magnets by physical vapor deposition and lift-off technique Resist fill and etch back technique was introduced to assist the lift-off on the silicon templates The successful lift-off was demonstrated with magnetic characterization on 45 nm silicon pillar templates using a thick trilayer material Ni80Fe20(25nm)/Cu(25nm)/Co(25nm) In addition, the magnetic properties of the fabricated magnetic nanostructures were obtained using a vibrating sample magnetometer (VSM) A direct imaging of spin configurations of the nanomagnets was performed using magnetic force microscopy (MFM) Micromagnetic simulations, using OOMMF, were performed to validate the experimental results A systematic investigation of magnetic spin states evolution, in-plane anisotropy and magnetostatic interaction in the arrays of elongated Ni80Fe20 rings and their derivatives was presented It was observed that the ring thickness plays a very crucial role in determining the magnetization reversal process, the switching field and the stability of the vortex state As a result of magnetostatic interaction, the magnetization reversal process, the switching field distributions and the transition fields between different magnetic configurations were strongly affected by the interring spacing and film thickness A sharp transition from the onion state to the vortex state for closely packed ring arrays was observed and attributed to collective magnetization reversal of rows of rings parallel to the applied field In closely-spaced ring arrays, the onion-to-vortex transition was delayed and the vortex-to-onion transition was promoted, leading to a smaller stability range for the vortex state, compared with sparse rings Next, the magnetic properties of derivative ring-shaped Ni80Fe20 nanomagnets were investigated It was found that the tailoring of magnetization reversal process is 170 Conclusion and Outlook possible by removing the different segments comprising the ring structure In elliptical ring derivative, the onion state was not favored with one of the long axes removed A good agreement between experiment and simulation was obtained This study has demonstrated that the transition regions of the magnetization can be accurately predicted and tailored in magnetoelectronic devices Finally, the ferromagnetic Ni80Fe20 anti-structures such as anti-ring, anti-U and anti-C geometries were fabricated by tailoring the extent of the elongated holes encircling a contiguous magnetic film A comprehensive investigation of the spin states and shape anisotropy was presented The intrinsic magnetic anisotropy associated with the film could be completely dominated by the shape anisotropy introduced by the patterning process As a result of a careful selection of the hole shapes, a wide range of distinctive local remanent magnetic states were observed from various anti-structure geometries in different field orientations The experimental results were found in very good agreement with the micromagnetic simulations The anti-structure arrays found to hinder the magnetization reversals in the continuous film Detailed magnetization reversal characterized by the magnetic hysteresis loops reveals a very strong pinning of domain walls in the vicinity of the anti-structures, the strength of which was strongly dependent on the anti-structure geometry and field orientation Future Work In this thesis various novel findings related fabrication and characterization of large area magnetic nanostructures have been reported There are still several promising avenues which are worth further attention and exploration The use of silicon templates for fabricating magnetic nanostructures is one of the best methods It can take the magnetism study beyond any lithography capability However, in the course of developing lift-off process on silicon template nanostructures, the resist cross-linking 171 Conclusion and Outlook effect, which happened in plasma during resist etch back, was observed A crosslinking-free resist etch plasma process is therefore needed and will be developed Although the carrier transport mechanism was not studied, a lift-off free nanowire template was presented for transport study In principle, the presented nanowire template can provide magnetic nanowires with diameter less than 10 nm Further, the corner rounding effect at the nanowire junction to the pad can be controlled to study the spin crowding effect It will be interesting to study transport in the templated nanowires Lastly, while working on the characterization of isolated magnetic nanostructures in the course of this study, however, high contact resistance problem between the magnetic elements and the metal lines was faced The issue seems to be with the integration scheme, where magnetic lines were imbedded in the oxide layer, polished and then magnetic structures were patterned on the top of the metal lines as shown in Fig 7.1 It is worth pursuing the project further to improve the contact resistance Pad1 Magnetic ring TaN metal line Pad4 TaN metal line TaN metal line Pad2 0.5µm TaN metal line Pad3 Fig 7.1: SEM image of a single isolated Ni80Fe20 magnetic ring on top of tantalum nitride (TaN) metal lines in four pad configuration Pad is connected with while pad is connected with Top inset shows the magnified view of the magnetic ring Lower inset shows another pad configuration in which all the pads are independent and ring is patterned on top of the pads 172 APPENDIX LIST OF PUBLICATIONS This is an up-to date list of publications, resulting from the work carried out on the application of deep ultraviolet lithography in magnetic nanostructures JOURNALS Main Contribution N Singh, S Goolaup and A O Adeyeye, "Fabrication of large area Nanomagnets”, Nanotechnology, 15, 1539 (2004) N Singh, M M Roy, S S Mehta, and A.O Adeyeye, “Process method to suppress the effect of phase errors in alternating phase shift masks”, Journal of Vacuum Science and Technology B, 23(2), 540 (2005) N Singh, H.Q Sun, W.H Foo, S.S Mehta, R Kumar, A.O Adeyeye, H Suda, T Kubota, Y Kimura, and H Kinoshita, “Swing effect in alternating phase shift mask lithography: implications of low σ illuminations”, Journal of Vacuum Science and Technology B, 24(5), 2326 (2006) A O Adeyeye, N Singh and S Goolaup, "Spin State Evolution and In-Plane Magnetic Anisotropy of Elongated Ni80Fe20 Nanorings", Journal of Applied Physics, 98, 094301 (2005) A.O Adeyeye, S Goolaup, N Singh, C.C Wang, X.S Gao, C.A Ross, W Jung, and F.J Castano, “Magnetostatic coupling in arrays of elongated Ni80Fe20 rings” Journal of Physics D, Applied Physics, 40, 6479 (2007) N Singh, S Goolaup, W Tan, A.O Adeyeye and N Balasubramaniam, “Micromagnetics of derivative ring-shaped nanomagnets”, Physical Review B, 75, 104407 (2007) [Also selected in the Virtual Journal of Nanoscale Science and Technology, March 26, 2007] N Singh, C.C Wang, A.O Adeyeye, “Direct mapping of spin states in mesoscopic anti-structures”, Journal of magnetism and Magnetic Materials, vol 320, no 3-4, 113 (2008) A.O Adeyeye and N Singh, Topical Review: "Large Area Patterned Magnetic Nanostructures"J Phys D: Appl Phys (in press) 173 N Singh, S, Goolaup, and A.O Adeyeye, “Fabrication of sub-50 nm magnetic nanostructures over large area using silicon prevalent processes”, (in preparation) Others 10 S Goolaup, N Singh and A O Adeyeye, "Coercivity variation in Ni80Fe20 Ferromagnetic Nanowires," IEEE Transactions on Nanotechnology, 4, 523 (2005) 11 Y S Huang, N Singh and A O Adeyeye, “Magnetic Properties of Large Area Cobalt Nanomagnets”, Journal of Physics: Condensed Matter Vol 17, 3931 (2005) 12 S Goolaup, N Singh, A O Adeyeye, V Ng and M B A Jalil, “Transition from coherent rotation to curling mode reversal process in ferromagnetic nanowires”, The European Physical Journal B- Condensed Matter, Vol 44, 259 (2005) 13 C.C Wang, A.O Adeyeye, N Singh, Y S Huang and Y H Wu, “Magnetoresistance behavior of Nanoscale Antidot Arrays”, Physical Review B, Vol 72, 174426, 2005 (Appeared in Virtual Journal of Nanoscale Science and Technology, Vol 12(24), Dec 2005) 14 G Gubbiotti, S Tacchi, G Carlotti, P Vavassori, N Singh, S Goolaup, A O Adeyeye, A Stashkevich, M Kostylev, "Magnetostatic Interactions in arrays of nanometric permalloy wires: A magneto-optic Kerr effect and a Brillouin light scattering study", Physical Review B, Vol 72, 224413 (2005) 15 S Goolaup, A O Adeyeye and N Singh, "Magnetization reversal in diamond shaped pseudo spin valve nanomagnets," Journal of Applied Physics, 98, 084318 (2005) 16 S Goolaup, A O Adeyeye and N Singh, "Magnetic properties of diamond shaped Ni80Fe20 nanomagnets," J Phys D: Appl Phys., 38, 2749 (2005) 17 J Wang, A.O Adeyeye and N Singh, “Magnetostatic interactions in mesoscopic Ni80Fe20 ring arrays", Applied Physics Letters, Vol 87, 262508 (2005) 18 S Goolaup, A O Adeyeye and N Singh, “Magnetization Reversal Mechanisms in Diamond-shaped Co Nanomagnets,” Physical Review B, 73, 104444 (2006) 19 C.C Wang, A.O Adeyeye, N Singh, “Magnetic anti-dot nanostructures: effect of lattice geometry”, Nanotechnology 17, 1629 (2006) 20 S Goolaup, A O Adeyeye and N Singh, "Dipolar coupling in closely packed Pseudo Spin Valve Nanowire Arrays," Journal of Applied Physics, 100, 114301 (2006) 174 List of Publications 21 G Gubbiotti, S Tacchi, G Carlotti, , N Singh, S Goolaup, A O Adeyeye and M Kostylev, “Collective spin modes in monodimensional magnonic crystals consisting of dipolarly coupled nanowires”, Applied Physics Letters, 90, 092503 (2007) 22 X S Gao, A O Adeyeye, S Goolaup, N Singh, W Jung, F J Castano and C A Ross, “Inhomogeneities in spin states and magnetization reversal of geometrically identical elongated Co rings”, Journal of Applied Physics, 101, 09F505 (2007) 23 L J Qui, J Ding, A O Adeyeye, J H Yin, J S Chen, S Goolaup and N Singh, “FePt Patterned Media Fabricated by Deep UV Lithography Followed by Sputtering or PLD”, IEEE Transactions on Magnetics, 43, 2157 (2007) 24 G Gubbiotti, S Tacchi, G Carlotti, A.O Adeyeye, S Goolaup, N Singh and A.N Slavin,” Spin wave eigenmodes of square permalloy dots studied by Brillouin light scattering” , Journal of Magnetism and Magnetic Materials, 316, e338 (2007) 25 P Vavassori, V Bonanni, G Gubbiotti, A O Adeyeye, S Goolaup, and N Singh, “Cross-over from coherent rotation to curling reversal mode in interacting ferromagnetic nanowires” ,Journal of Magnetism and Magnetic Materials, 316, e31 (2007) 26 S Goolaup, A O Adeyeye, N Singh, G Gubbiotti, “Magnetization switching in alternating width nanowire arrays”, Physical Review B, 75, 144430 (2007) CONFERENCES Main Contribution N Singh, M M.-Roy, S.S Mehta, H Suda, T Kubota, Y Kimura, and H Kinoshita, “Key challenges in across-pitch 0.33-k1 trench patterning using hybrid mask”, in Proc of SPIE, vol 5377, 1334-1341 (2004) N Singh, S Goolaup and A O Adeyeye, "Fabrication of magnetic nanostructures using KrF lithography", presented at the 2004 IEEE conference on Nanotechnology in Munich, Germany, August 17-19, 2004 A.O Adeyeye, N Singh and S.Goolaup, “Dipolar magnetostatic interactions in mesoscopic rings”, presented at the 17th International Conference on Magnetism, Kyoto, Japan, August 20-25, 2006 Others S Goolaup, N Singh, A O Adeyeye, V Ng and M B A Jalil, "Magnetic anisotropy in magnetostatically coupled Ni80Fe20 nanowires", presented at the 2004 IEEE conference on Nanotechnology in Munich, Germany, August 17-19, 2004 175 List of Publications C.C Wang, A O Adeyeye, and N Singh, “Fabrication of Ni80Fe20 antidot nanostructures using KrF lithography", presented at 5th IEEE Conference on Nanotechnology, Nagoya, Japan, July 11-15, 2005 S.Goolaup, N Singh and A.O Adeyeye, “Magnetic spin states in diamondshaped Ni80Fe20/Cu/Ni80Fe20 nanomagnetic trilayer”, presented at the 5th IEEE Conference on Nanotechnology, Nagoya, Japan, July 11-15, 2005 J Wang, A O Adeyeye, N Singh, “Direct investigation of magnetostatic interaction in mesoscopic ferromagnetic rings”, presented at 3rd International Conference on Materials for Advanced Technologies, Singapore, July 2-8, 2005 S Goolaup, N Singh and A O Adeyeye, “Flux closure configuration in Ferromagnetic Diamond-shaped nanomagnets”, presented at the International Magnetics Conference, Nagoya, Japan, April 4-8, 2005 P Vavassori, V Bonanni, G Gubbiotti, A O Adeyeye, S Goolaup, and N Singh “Cross-over from coherent rotation to curling reversal mode in interacting ferromagnetic nanowires” presented at Joint European Magnetic Symposia, San Sebastián, Spain, June 26-30, 2006 G.Gubbiotti, M Madami, S Tacchi, G Carlotti, A O Adeyeye, S Goolaup, N Singh, and A N Slavin, “Spin wave eigenmodes of square permalloy dots studied by Brillouin light scattering” presented at Joint European Magnetic Symposia, J San Sebastián, Spain June 26-30, 2006 Chapter in Edited Book A.O Adeyeye, S Goolaup and N Singh, “Large area magnetic nanostructures for spintronic applications”, Magnetic Properties of Laterally Confined Nanometric Structures, Ed Gianluca Gubbiotti , – 23 [2006], ISBN 81-7895212-2 176 ... With ever increasing demand of data storage, the current planar recording media is approaching the limit of the recording density due to the beginning of super-paramagnetic effect [21-23] In addition,... magneto-electronic devices 1.2 FOCUS OF THIS THESIS The focus of this thesis is the application of Deep Ultraviolet (DUV) lithography in the fabrication of magnetic nanostructures of various shapes over a... Comprehensive investigation of the relationship between swing amplitude and pattern size using alternating PSM lithography In conventional binary mask lithography, the swing amplitude increases with

Ngày đăng: 11/09/2015, 14:22

Từ khóa liên quan

Mục lục

  • TP_NS

  • Ack_NS

  • TOC_NS

  • Summary_NS

  • LOF_NS

  • LOS_NS

  • SOO_NS

  • Ch_1_NS

  • Ch_2_NS

  • Ch_3_NS

  • Ch_4_NS

  • Ch_5_NS

  • Ch_6_NS

    • 6.3 FABRICATION

    • Ch_7_NS

    • Appendix_NS

Tài liệu cùng người dùng

Tài liệu liên quan