Integrated metrology and real time CD control

131 258 0
Integrated metrology and real time CD control

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

INTEGRATED METROLOGY AND REAL-TIME CD UNIFORMITY CONTROL NGO YIT SUNG (B.Eng.(Hons.),UTM) A THESIS SUBMITTED FOR THE DEGREE OF DOCTOR OF PHILOSOPHY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING NATIONAL UNIVERSITY OF SINGAPORE 2012 i Acknowledgments First and foremost I would like to express my sincerest gratitude to my research advisor, Professor Arthuy Tay, who has supported me throughout my research at National University of Singapore with his patience and knowledge. The many fruitful discussions we had throughout my work have become indelible memories and experiences beneficial towards my future undertakings. I attribute to Professor Arthur Tay my research achievements to his encouragement and continuous effort, for without him, this thesis would not have been completed. I would also like to express my deepest gratitude to Professor Ng Tuck Wah for his insightful advices and instructions in the optical sensor development. I am also extremely thankful to my examiners, Professor Prahlad Vadakkepat and Professor Tan Kay Chen for their advices and guidance during my Qualifying Exams. Dr Andi S. Putra has put in tremendous effort to set up the clean room in our laboratory and contributed in the development phase of the optical sensor. Our laboratory technologist, Mainavathi has provided unconditional support in our research to make it a success. In my daily work I have been blessed with a friendly and cheerful group of fellow students and colleagues: Dr. Wang Yuheng, Dr. Zhao Shao, Dr. Kiew Choon Meng, Dr. Teh Siew Hong, Mr. Ang Kar Tien, Mr. Yang Geng, Dr. Yan Han, Dr. Quek Han Yang, Mr. Nie Maowen, Mr. Yong See Wei, Mr. Bong Jit Hon and many others working in the Advanced Control Technology (ACT) Laboratory. Thank you for your friendship and encouragement along the way. Many thanks to all whom I have unintentionally left out, but contributed in making this thesis a successful and memorable journey. I thank my parents and sisters for supporting my decision to pursue my passion in research. And last but not least, thanks to my wife, Chiew Mei for her company, love and patience. It is her consistent motivation which expedited the completion of this thesis. Ngo Yit Sung January, 2012. ii iii Contents Acknowledgments i Summary vi List of Figures viii List of Tables xii Chapter Introduction 1.1 1.2 Motivation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.1.1 Process Control . . . . . . . . . . . . . . . . . . . . . . . . . 1.1.2 Equipment Design . . . . . . . . . . . . . . . . . . . . . . . 10 1.1.3 Integrated Metrology . . . . . . . . . . . . . . . . . . . . . . 14 Contributions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 16 1.2.1 Design and Implementation of Programmable Integrated BakeChill System . . . . . . . . . . . . . . . . . . . . . . . . . . . 17 1.2.2 Design and Implementation of Scatterometry in CD Monitoring . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 1.2.3 1.3 Real-time CD Monitoring and Control . . . . . . . . . . . . 20 Organisation of the Thesis . . . . . . . . . . . . . . . . . . . . . . . 20 iv Chapter Programmable Integrated Bake-Chill System 22 2.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 2.2 Proposed Integrated Bake-Chill System . . . . . . . . . . . . . . . . 25 2.3 Thermal Modeling of the System . . . . . . . . . . . . . . . . . . . 27 2.3.1 Heat Transfer in Wafer . . . . . . . . . . . . . . . . . . . . . 28 2.3.2 Thermoelectric Devices Modeling . . . . . . . . . . . . . . . 29 2.3.3 Heat Sink Design . . . . . . . . . . . . . . . . . . . . . . . . 32 2.3.4 Open Loop Model Validation . . . . . . . . . . . . . . . . . 34 2.4 Model-Based Controller . . . . . . . . . . . . . . . . . . . . . . . . 39 2.5 Experimental Results . . . . . . . . . . . . . . . . . . . . . . . . . . 42 2.6 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 Chapter Metrology for Patterned Thin Film 46 3.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 46 3.2 Proposed Rotating Polarizer Spectroscopic Ellipsometer . . . . . . . 48 3.3 Principles in Ellipsometry . . . . . . . . . . . . . . . . . . . . . . . 50 3.4 Instrument Parameter Characterization . . . . . . . . . . . . . . . . 53 3.5 3.4.1 Determination of Polarizer and Analyzer Axes . . . . . . . . 53 3.4.2 Residual-Function and Phase-Function Calibration . . . . . 55 3.4.3 The Effect of Azimuth Angle . . . . . . . . . . . . . . . . . . 57 3.4.4 Tilt and Translation Adjustment . . . . . . . . . . . . . . . 61 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 65 Chapter Real Time Photoresist Monitoring and Control 4.1 66 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 66 v 4.2 Basic Mechanism of Positive CAR . . . . . . . . . . . . . . . . . . . 68 4.2.1 Exposure Reaction . . . . . . . . . . . . . . . . . . . . . . . 69 4.2.2 Amplification Reaction . . . . . . . . . . . . . . . . . . . . . 70 4.2.3 De-protection Induced Thickness Loss and Transmittance Change . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 72 4.3 DUV Chemically Amplified Resist Characterization . . . . . . . . . 74 4.3.1 Experimental Setup . . . . . . . . . . . . . . . . . . . . . . . 74 4.3.2 Sample Preparation . . . . . . . . . . . . . . . . . . . . . . . 77 4.3.3 DUV Chemically Amplified Resist Characterization . . . . . 77 4.4 PEB Control Scheme . . . . . . . . . . . . . . . . . . . . . . . . . . 87 4.5 Results and Discussions . . . . . . . . . . . . . . . . . . . . . . . . 90 4.6 Conclusion . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 97 Chapter Conclusion 98 5.1 Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 98 5.2 Future Work . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 101 Author’s Publications 104 Bibliography 106 vi Summary Lithography is the key technology driver in semiconductor manufacturing. In optical lithography, the most important variable to be controlled is critical dimension (CD) uniformity. As feature size miniaturization goes beyond the sub-micron technology node, the prevalence of optical lithography is only possible with stateof-the-art process equipment, in-situ metrology and efficient process control. This thesis presents a framework for lithography process monitoring and control which encompasses the development of an actuator, sensor and control methodology for post-exposure bake (PEB) in deep ultraviolet (DUV) lithography. As CD is very sensitive to wafer temperature during thermal processing steps in lithography, it is important to control the wafer spatial temperature uniformity for enhancing CD uniformity. A new programmable integrated bake-chill thermal processing module is designed and implemented to overcome limitations posed by conventional thermal processing module. By employing a set of thermoelectric devices (TEDs), resistance temperature detectors (RTDs) and model-based control method, the spatial wafer temperature non-uniformity can be well-controlled to within ±0.4◦ C and ±0.1◦ C during the transient and steady-state period of thermal vii cycle respectively. Metrology wise, a fixed angle scatterometer based on specular spectroscopic scatterometry is developed as an in-situ metrology system for patterned resist film monitoring during PEB. The rotating-polarizer configuration is adopted so that the detector does not need to be insensitive to polarization and parasitic light is suppressed. Calibration for sources of systematic errors is proposed. The effective spectral range recognized by the system ranged between 350nm to 850nm and measurement time during spectroscopic mode is times faster than commercial ellipsometers which is critical for real-time monitoring and control. The scatterometer is integrated with a multi-zone bake-plate to form the advanced process control (APC) framework for PEB. Characterization on targeted DUV resist is performed to determine the temporal range for control during PEB. A control scheme based on merit function to match the measured and reference spectrums is proposed for real-time monitoring and control. Result shows CD non-uniformity can be significantly reduced to less than 10nm. With this system, real-time monitoring and control for CD uniformity is achieved, control resolution is further reduced from run-to-run control to across-wafer control. viii List of Figures 1.1 Intel’s Pentium processor evolution. . . . . . . . . . . . . . . . . . . 1.2 A typical sequence of lithographic processing steps, illustrated for a positive resist. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1.3 Thermal processing steps in lithography. . . . . . . . . . . . . . . . 11 2.1 The conventional approach for lithography baking and chilling process involves substrate transfer between large thermal mass, fixed temperature plates. . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 2.2 Schematic diagram of the integrated bake/chill design. (A) schematic drawing of the system, (B) plan view of the heat sink. (Note: Figures are not drawn to scale). . . . . . . . . . . . . . . . . . . . . . . 26 2.3 Experimental setup. . . . . . . . . . . . . . . . . . . . . . . . . . . 27 2.4 Schematic of a thermoelectric device (TED).(Note: Figures are not drawn to scale). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 2.5 Arrangement of TEDs in pseudo-circular pattern and location of RTD sensors for open loop experiment.(Note: Figures are not drawn to scale). . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 ix 2.6 Comparison of experimental and simulated TED temperatures in a heating and cooling cycle. (A) Experimental and simulated TED temperature response. (B)TED temperature difference between experiment and simulation result. (C)Input currents during the heating and cooling phase. . . . . . . . . . . . . . . . . . . . . . . . . . 37 2.7 Comparison of experimental and simulated wafer at different input signals. (A) Experimental and simulated wafer temperature response. (B)Wafer temperature difference between experiment and simulation result. (C)Input currents during the process. . . . . . . . 38 2.8 Comparison of experimental and simulated wafer temperatures over 10 consecutive cycles. (A) Experimental and simulated TED temperature response. (B)Experimental and simulated heat sink temperature over 10 cycles. (C)Input currents during the process. . . . 39 2.9 Block diagram of the proposed model based control scheme. . . . . 40 2.10 Location of temperature sensors for the integrated bake-chill experiment. R1 and R5 are used as feedback variables. . . . . . . . . . . 43 2.11 Experimental wafer temperature along the wafer radius with the temperatures of sensors R1 and R5 being treated as feedback variables using model based control method. (A) wafer temperature response at the five points during the whole thermal cycle, (B) temperature difference between the two feedback points on the wafer in the process, (C) mean removed wafer temperature of the five points, (D) control current inputs of TEDs during the thermal cycle. 44 103 (a) Top view (b) Front view Figure 5.1: Schematic of the proposed dual-zone scatterometry system. 104 Author’s Publications The author has contributed to the following publications: Journal Papers [1] A. Tay, H.T. Chua, Y. Wang and Y.S. Ngo, “Equipment design and control of advanced thermal processing module in lithography,” IEEE Transactions on Industrial Electronics, vol. 57(3), pp.1112-1119, 2010. [2] Y.S. Ngo, K.T. Ang and A. Tay, “Method for Real-time CD Signature Monitoring and Control: Sensor, Actuator and Experiment Results,” Review of Scientific Instruments, 2012, submitted. Conference Papers [1] A. S. Putra, Y. Wang, Y. S. Ngo, A. Tay, K. K. Tan, “Spectroscopic Ellipsometry for Measurement of Signature Plots of Semiconductor Wafer,” The 2nd International Conference on Control, Instrumentation and Mechatronic Engineering, Malacca, Malaysia, 2009. [2] Y. S. Ngo, G. Yang, A. S. Putra, K. T. Ang and A. Tay, “Equipment Design and Process Control of Critical Dimensions in Lithography,” IEEE Interna- 105 tional Conference on Control & Automation, Xiamen, Fujian, pp. 1572-1577, 2010. [3] G. Yang, Y. S. Ngo, A. S. Putra, K. T. Ang, A. Tay, and Z. Fang , “Monitoring and control of photoresist properties and CD during photoresist processing,” Proc. of SPIE, vol. 7638, p. 763828, 2010. [4] Y. S. Ngo, K. T. Ang, Y.Qu, A. Tay, T. H. Lee and Z. Fang, “Real-time CD Control During PEB Using Spectroscopic Ellipsometry,” Proc. of SPIE: Advanced Lithography, San Jose, CA, 2012, accepted. Awards [1] A. S. Putra, Y.S. Ngo, A. Tay and K. K. Tan, “Real-time scatterometry for critical dimensions measurements in lithography,” IEEE International Hands-on Competition via Internet on Intelligent Mechatronics and Automation, 4th Prize, 2008. [2] Y.S. Ngo, “Equipment design for in-situ photoresist monitoring and control during PEB,” ECE Graduate Student Symposium: Control, Intelligent Systems & Robotic Track, Best Paper Award, 2011. 106 Bibliography [1] G. S. May and C. J. Spanos, Fundamentals of Semiconductor Manufacturing and Process Control. New Jersey: John Wiley and Sons, 2006. [2] S. M. Katsumi Suzuki and Y. Ochiai, Sub-half-micron lithography for ULSIs. New York: Cambridge University Press, 2000. [3] S. Kundu and A. Sreedhar, Nanoscale CMOS VLSI circuits: Design for Manufacturability. New York: McGraw-Hill, 2010. [4] S. Landis, Lithography: Main Techniques. New Jersey: Wiley-ISTE, 2011. [5] H. Xiao, Introduction to Semiconductor Manufacturing Technology. PrenticeHall, 2001. [6] C. Mack, Fundamental Principles of Optical Lithography: The Science of Microfabrication. West Sussex: John Wiley and Sons, 2007. [7] “1990s - technology marches on.” [Online]. Available: http://www. icknowledge.com/history/1990s.html [8] J. R. Sheats and B. W. Smith, Microlithography: Science and Technology. New York: Marcel Dekker, 1998. 107 [9] C. S. Yoo, Semiconductor Manufacturing Technology. Singapore: World Scientific, 2008. [10] M. Quirk and J. Serda, Semiconductor Manufacturing Technology. PrenticeHall, 2001. [11] P. V. Zant, Microchip Fabrication : A Practical Guide to Semiconductor Processing. New York: McGraw-Hill, 2000. [12] “International Technology Roadmap for Semiconductors.” [Online]. Available: http://www.itrs.net [13] A. C. Diebold, Handbook of Silicon Semiconductor Metrology. New York: Marcel Dekker, 2001. [14] N. Jakatdar, X. Niu, J. Musacchio, and C. J. Spanos, “In-situ metrology for deep ultraviolet lithography process control,” Proc. of SPIE, vol. 3332, pp. 262–270, 1998. [15] C. Mack, “Chris Mack, Gentleman Scientist.” [Online]. Available: http://www.lithoguru.com [16] J. Parker and W. Renken, “Temperature metrology for CD control in DUV lithography,” Semicond. Int., vol. 20(10), pp. 111–116, 1997. [17] T. Masahide, S. Shinichi, O. Kunie, and M. Tamotsu, “Effects produced by CDU improvement of resist pattern with PEB temperature control for wiring resistance variation reduction,” Proc. of SPIE, vol. 6922, p. 69222Z, 2008. 108 [18] S. K. Oh, E. K. Son, C. S. Park, J. Y. Lee, J. W. Kim, J. W. Lee, D. B. Kim, J. Kim, G. Lee, and S. C. Moon, “Parameter investigation of PEB sensitivity,” Proc. of SPIE, vol. 6153, p. 615335, 2006. [19] Q. Zhang, K. Poolla, and C. J. Spanos, “Across wafer critical dimension uniformity enhancement through lithography and etch process sequence: Concept, approach, modeling, and experiment,” IEEE Trans. Semicond. Manuf., vol. 20(4), pp. 488–505, 2007. [20] K. El-Awady, C. Schaper, and T. Kailath, “Programmable thermal processing module for semiconductor substrates,” IEEE Trans. Control Syst. Technol., vol. 12(4), pp. 493–509, 2004. [21] P. Friedberg, C. Tang, B. Singh, T. Brueckner, W.Gruendke, B. Schulz, and C. Spanos, “Time-based PEB adjustment for optimizing CD distributions,” Proc. of SPIE, vol. 5375, pp. 703–712, 2004. [22] C. Schaper, K. El-Awady, T. Kailath, A. Tay, L. Lee, W. Ho, and S. Fuller, “Processing chemically amplified resists on advanced photomasks using a thermal array,” Microelectronics Engineering, vol. 71, pp. 63–68, 2004. [23] Q. Zhang, P. Friedberg, K. Poolla, , and C. Spanos, “Enhanced spatial PEB uniformity through a novel bake plate design,” AEC/APC XVII, vol. 71, pp. 63–68, 2005. [24] S. Goto, K. Matsuchika, and A. Hisai, “The new bake plate optimized for a PEB process,” Proc. of SPIE, vol. 6153, p. 61534N, 2006. 109 [25] A. Tay, W. K. Ho, A. P. Loh, K. W. Lim, W. W. Tan, and C. Schaper, “Integrated bake/chill module with in situ temperature measurement for photoresist processing,” IEEE Trans. Semicond. Manuf., vol. 17(2), pp. 231–242, 2004. [26] W. Ho, A. Tay, and C. D. Schaper, “Optimal predictive control with constraints for the processing of semiconductor wafers on bake plates,” IEEE Trans. Semicond. Manuf., vol. 13(1), pp. 88–96, 2000. [27] A. Tay, W. Ho, and Y. Poh, “Minimum time control of conductive heating systems for microelectronics processing,” IEEE Trans. Semicond. Manuf., vol. 14(4), pp. 381–386, 2001. [28] A. Tay, W. Ho, C. D. Schaper, and L. Lee, “Constraint feedforward control for thermal processing of quartz photomasks in microelectronics manufacturing,” J. of Process Control, vol. 14(1), pp. 31–39, 2004. [29] Y. Wang, H. Chua, and A. Tay, “In-situ real-time temperature control of baking systems in lithography,” Proc. of SPIE, vol. 6922, 2008. [30] S. Skogestad and I. Postlethwaite, Multivariable Feedback Control: Analysis and Design. England: John Wiley and Sons, 2005. [31] C. Schaper, K. El-Awady, A. Tay, and T. Kailath, “Control systems for the nanolithography process,” IEEE Conference on Decision and Control, vol. 6922, pp. 4173–4178, 1999. [32] K. EI-Awady, “Spatially Programmable Thermal Processing Module for Semiconductors,” PhD Thesis, Stanford University, 2000. 110 [33] J. Bao, “An Optical Metrology System for Lithography Process Monitoring and Control,” PhD Thesis, University of California at Berkeley, 2003. [34] M. E. Kodadi, S. Soulan, M. Besacier, and P. Schiavone, “Resist trimming etch process control using dynamic scatterometry,” Microelectronic Engineering, vol. 86, pp. 1040–1042, 2009. [35] K. Lensing, J. Caina, A. Prabhua, A. Vaida, R. Chonga, R. Gooda, B. LaFontaineb, and O. Kritsunb, “Lithography process control using scatterometry metrology and semi-physical modeling,” Proc. of SPIE, vol. 6518, p. 651804, 2007. [36] T. Kawachi, H. Fudo, S. Yamashita, K. Narimatsu, K. Yamamoto, T. Miwa, and S. Matsumoto, “Focus and CD control by scatterometry measurements for 65/45 nm node devices,” IEEE Trans. Semicond. Manuf., vol. 22(4), pp. 443–451, 2009. [37] R. Pforr, S. Wittekoek, R. V. D. Bosch, L. V. D. Hove, R. M. Jonckheere, T. Fahner, and R. Seltmann, “In-process image detection technique for determination of overlay and image quality for ASM-L wafer stepper,” Proc. of SPIE, vol. 1674, pp. 594–608, 1992. [38] Y. S. Ku, W. Hsu, S. Y. Chou, and D. M. Shyu, “Optimal measurement method for scatterometer-based overlay metrology,” Optical Engineering, vol. 47(8), p. 083604, 2008. [39] R. M. A. Azzam and N. M. Bashara, Ellipsometry and Polarized Light. Amsterdam : North-Holland, 1977. 111 [40] K. C. Hickman, S. M. Gaspar, K. P. Bishop, S. S. H. Naqvi, J. R. McNeil, G. D. Tipton, B. R. Stallard, and B. L. Draper, “Use of diffracted light from latent images to improve lithography control,” J. Vac. Sci. Technol. B, vol. 10(5), pp. 2259–2266, 1992. [41] L. M. Milner, K. P. Bishop, S. S. H. Naqvi, and J. R. McNeil, “Stepper focus characterization using diffraction from latent images,” J. Vac. Sci. Technol. B, vol. 11(4), pp. 1258–1266, 1993. [42] R. H. Krukar, “A Methodology for the Use of Diffracted Scatter Analysis to Measure the Critical Dimensions of Periodic Structures,” PhD Thesis, University of New Mexico, 1996. [43] C. J. Raymond, “Measurement and Efficient Analysis of Semiconductor Materials Using 2-θ Scatterometry,” PhD Thesis, University of New Mexico, 1997. [44] X. Niu, N. H. Jakatdar, J. Bao, C. J. Spanos, and S. K. Yedur, “Specular spectroscopic scatterometry in DUV lithography,” Proc. of SPIE, vol. 3677, pp. 159–168, 1999. [45] S. A. Coulombe, B. K. Minhas, C. J. Raymond, S. S. H. Naqvi, and J. R. McNeil, “Scatterometry measurement of sub-0.1 gm linewidth gratings,” J. Vac. Sci. Technol. B, vol. 16(1), pp. 80–87, 1998. [46] Z. R. Hatab, J. R. McNeil, and S. S. H. Naqvi, “Sixteen-megabit dynamic random access memory trench depth characterization using two-dimensional diffraction analysis,” J. Vac. Sci. Technol. B, vol. 13(2), pp. 174–182, 1995. 112 [47] H. E. Rhaleb, N. Cella, J. Roger, D. Fournier, A. Boccara, and A. Zuber, “Beam size and collimation effects in spectroscopic ellipsometry of transparent films with optical thickness inhomogeneity,” Thin Solid Films, vol. 288(1-2), pp. 125–131, 1996. [48] J. Lee, P. Rovira, I. An, and R. Collins, “Rotating-compensator multichannel ellipsometry: Applications for real time Stokes vector spectroscopy of thin film growth,” Rev. Sci. Instrum., vol. 69(4), pp. 1800–1810, 1998. [49] W. Evans and D. Alred, “Determining indices of refraction for ThO2 thin films sputtered under different bias voltages from 1.2 to 6.5 eV by spectroscopic ellipsometry,” Thin Solid Films, vol. 515(3), pp. 847–853, 2006. [50] O. Biuu, Y. Liu, I. Mitrovic, S. Hall, P. Chalker, and R. Potter, “Spectroellipsometric assessment of HfO2 thin films,” Thin Solid Films, vol. 515(2), pp. 623–626, 2006. [51] R. Dejule, “Advances in thin film measurements,” Semicond. Int., p. 64, 1998. [52] M. G. Moharam and T. K. Gaylord, “Rigorous coupled-wave analysis of planar-grating diffraction,” J. Opt. Soc. Am., vol. 71, pp. 811–818, 1981. [53] X. Niu, N. Jakatdar, J. Bao, and C. J. Spanos, “Specular spectroscopic scatterometry,” IEEE Trans. Semicond. Manuf., vol. 14(2), pp. 97–111, 2001. [54] A. Hisai, K. Kaneyama, and C. Pieczulewski, “Optimizing CD uniformity by total PEB cycle temperature control on track equipment,” Proc. of SPIE, vol. 4690, pp. 754–760, 2002. 113 [55] Q. Zhang, C. Tang, J. Cain, A. Hui, T. Hsieh, N. Maccrae, B. Singh, K. Poolla, and C. Spanos, “Across-wafer CD uniformity control through lithography and etch process: experimental verification,” Proc. of SPIE, vol. 6518, p. 65182C, 2007. [56] Q. Zhang, P. D. Friedberg, C. Tang, B. Singh, K. Poolla, and C. J. Spanos, “Across-wafer CD uniformity enhancement through control of multizone peb profiles,” Proc. of SPIE, vol. 5375, pp. 276–286, 2004. [57] D. A. Steele, A. Coniglio, C. Tang, B. Singh, S. Nip, and C. J. Spanos, “Characterizing post-exposure bake processing for transient- and steady-state conditions in the context of critical dimension control,” Proc. of SPIE, vol. 4689, pp. 517–530, 2002. [58] A. Narasimhan and S. Karra, “An inverse heat transfer method to provide near-isothermal surface for disc heaters used in microlithography,” Int. J. Heat and Mass Transfer, vol. 49(23-24), pp. 4624–4632, 2006. [59] A. Tay, H. Chua, and X. Wu, “A lamp thermoelectricity based integrated bake/chill system for photoresist processing,” Int. J. Heat and Mass Transfer, vol. 49(3-4), pp. 580–594, 2007. [60] “Minco corporation,” 2007. [Online]. Available: www.minco.com [61] “Melcor Corporation,” 2007. [Online]. Available: www.melcor.com [62] F. Incropera and D. DeWitt, Fundamentals of Heat and Mass Transfer, 6th ed. New York: John Wiley and Sons, 2007. 114 [63] K. G. Hollands, G. Raithby, and L. Konicek, “Correlation equations for free convection heat transfer in horizontal layers of air and water,” Int. J. Heat Mass Transfer, vol. 18, pp. 879–884, 1975. [64] H. T. Chua, K. C. Ng, X. C. Xuan, C. Yap, and J. M. Gordon, “Temperature entropy formulation of thermoelectric thermodynamic cycles,” Phys. Review E, vol. 65, 2002. [65] D. Rowe, Handbook of Thermoelectrics. New York: CPC Press, 1994. [66] A. Bar-Cohen and W. M. Rohsenow, “Thermally optimum spacing of vertical natural convection cooled parallel plates,” J. Heat Transfer, vol. 106, pp. 116– 123, 1984. [67] K. Raznjevic, Handbook of Thermodynamic Tables and Charts. Washington DC: Hemisphere, 1976. ´ Imre-Lucaci, Model Based [68] P. S. Agachi, Z. K. Nagy, M. V. Cristea, and A. Control: Case Studies in Process Engineering. Weinheim: Wiley-VCH, 2006. [69] L. Ljung, System identification : theory for the user, 2nd ed. New Jersey : Prentice Hall, 1999. [70] I. Landau, System Identification and Control Design : using P.I.M.+ software. New Jersey : Prentice Hall, 1990. [71] J. M. Rabaey, A. Chandrakasan, and B. Nikoli´ c, Digital Integrated Circuits : A Design Perspective. New Jersey : Prentice Hall, 2003. 115 [72] B. D. Bunday, A. Peterson, and J. A. Allgair, “Specifications, methodologies, and results of evaluation of optical critical dimension scatterometer tools at the 90nm CMOS technology node and beyond,” Proc. of SPIE, vol. 5752, pp. 304–323, 2005. [73] J. Opsal, “OPTICAL SCATTEROMETRY: How far can one go with optical metrology,” Laser Focus World, vol. 42(9), 2006. [74] R. Silver, T. Germer, R. Attota, B. M. Barnes, E. Marx, J. Jun, B. D. Bunday, and J. Allgair, “Fundamental limits of optical critical dimension metrology: a simulation study,” Proc. of SPIE, vol. 6518, p. 65180U, 2007. [75] S. S. H. Naqvi, J. R. McNeil, R. H. Krukar, and Z. R. Hatab, “Grating parameter estimation using scatterometry,” Proc. of SPIE, vol. 1992, pp. 170–180, 1993. [76] “Spectroscopic Ellipsometry - J.A. Woollam Co.” [Online]. Available: http://www.jawoollam.com [77] “Spectroscopic Ellipsometry Theory.” [Online]. Available: http://www. sopra-sa.com [78] H. G. Tompkins and W. A. McGahan, Spectroscopic Ellipsometry and Reflectometry : A User’s Guide. New York : John Wiley & Sons, 1999. [79] H. G. Tompkins and E. A. Irene, Handbook of Ellipsometry. William Andrew, 2005. New York : 116 [80] W. Ho, A. Tay, Y. Zhou, and K. Yang, “In situ fault detection of wafer warpage in microlithography,” IEEE Trans. Semicond. Manuf., vol. 17(3), pp. 402–407, 2004. [81] A. Tay, W. K. Ho, and N. Hu, “Estimation of wafer warpage profile during thermal processing in microlithography,” Rev. Sci. Instrum., vol. 76(7), p. 75111, 2005. [82] A. Tay, H. Chua, Y. Wang, and Y. Ngo, “Equipment design and control of advanced thermal processing module in lithography,” IEEE Trans. Ind. Electron., vol. 57(3), pp. 1112–1119, 2010. [83] Q. Zhang, K. Poolla, and C. J. Spanos, “One step forward from run-torun critical dimension control: Across-wafer level critical dimension control through lithography and etch process,” J. Process Control, vol. 8(10), pp. 937–945, 2008. [84] J. Moyne, V. Solakhian, A. Yershov, M. Anderson, and D. Mockler-Hebert, “Development and deployment of a multi-component advanced process control system for an epitaxy tool,” IEEE SEMI Advanced Semiconductor Manufacturing Conference, pp. 125–130, 2002. [85] H. Ito, “Chemical amplification resists: History and development within IBM,” IBM J. Res. Develop., vol. 41, pp. 69–80, 1997. [86] C. G. Willson, R. A. Dammel, and A. Reiser, “Photoresist materials: a historical perspective,” Proc. of SPIE, vol. 3049, pp. 28–41, 1997. [87] C. A. Mack, Field Guide to Optical Lithography. SPIE Press, 2006. 117 [88] J. D. Byers, J. S. Petersen, and J. L. Sturtevant, “Calibration of chemically amplified resist models,” Proc. of SPIE, vol. 2724, pp. 156–162, 1996. [89] N. Jakatdar, X. Niu, C. Spanos, A. Romano, J. Bendik, R. Kovacs, and S. Hill, “Characterization of a positive chemically amplified photoresist for process control,” Proc. of SPIE, vol. 3332, pp. 586–593, 1998. [90] N. Jakatdar, X. Niu, J. Musacchio, and C. Spanos, “In-situ metrology for deep ultraviolet lithography process control,” Proc. of SPIE, vol. 3332, pp. 262–270, 1998. [91] E. Lee, M. Sung, Y. Lee, Y. Sohn, and H. Oh, “Characterization of 193nm chemically amplified resist during post exposure bake and post exposure delay,” Japanese Journal of Applied Physics, vol. 38, pp. 7094–7098, 1999. [92] F. H. Dill, W. P. Hornberger, P. S. Hauge, and J. M. Shaw, “Characterization of positive photoresist,” IEEE Trans. Electron Devices, vol. 22(7), pp. 445– 452, 1975. [93] F. H. Dill, A. R. Neureuther, J. A. Tuttle, and E. J. Walker, “Modeling projection printing of positive photoresist,” IEEE Trans. Electron Devices, vol. 22(7), pp. 456–464, 1975. [94] E. D. Palik, Handbook of Optical Constants of Solids. Maryland: Academic Press, 1991. [95] H. Ridaoui, J. Tortai, S. Derrough, C. Sourd, H. Trouve, and A. Pikon, “Deprotection kinetic monitoring of a 193 nm positive tone resist by ellipsometry,” Microelectronic Engineering, vol. 85, pp. 979–981, 2008. 118 [96] X. Niu, N. Jakatdar, and C. Spanos, “Optical thin-film decomposition for DUV positive tone resist process monitoring,” Proc. of SPIE, vol. 3332, pp. 411–418, 1998. [97] S. Ridaoui, S. Derrough, C. Sourd, H. Trouve, A. Pikon, and J. H. Tortai, “Ellipsometry studies of the kinetic of deprotection of thin 193 nm positive tone resist film,” Proc. of SPIE, vol. 6923(26), pp. 1–7, 2008. [...]... control (APC) architecture of the integrated realtime CD monitoring and control system 89 4.14 Comparison between controlled response with respect to reference for log(tan Ψ) and cos ∆ spectrums 91 4.15 Comparison between controlled and uncontrolled response for log(tan Ψ) and cos ∆ spectrums 93 4.16 SEM results for (a)reference wafer, (b)controlled... requires in-line sensors and real- time algorithms to facilitate real- time analysis of sensor signals [14] Therefore, in order to implement real- time CD monitoring and control effectively, we need more advanced hardware and software For photoresist thermal processing in lithography, we have identified the need to integrate an optical sensor employing scatterometry technique for real- time CD monitoring The data... measurements that are done in situ, in-line, and off-line Off-line metrology 9 refers to measurement done outside of the cleanroom In-line metrology refers to measurement and process control done inside the cleanroom In situ metrology refers to measurements and process control done using sensors placed inside process chamber Advanced equipment control (AEC) and advanced process control (APC) have been considered... for active control where defects are detected within a shorter timeframe during processing of every wafer and process parameters are adjusted immediately to reverse the fault The International Technology Roadmap of Semiconductor (ITRS) calls for factory level and companywide metrology integration for real time in-situ, integrated and inline metrology tools [12] In the ITRS 2010 report [12], metrology. .. the sensor will be analyzed and translated into CD information The CD information will be compared with the desired CD profile and based on the CD error, a control signal will be generated and fed immediately back to the bake-plate to control the thermal processing of photoresist Other than in-situ sensor, we have also identified limitations of existing bake-plate module and devise a new multi-zone bake-chill... control system integrating the metrology system and multi-zone bake-plate is developed for real- time monitoring and control for DUV photoresist during PEB Conclusions and future work are given in Chapter 5 22 Chapter 2 Programmable Integrated Bake-Chill System 2.1 Introduction Resist processing in lithography sequence entails numerous thermal processes such as post-apply bake, post-exposure bake and. .. significantly faster than commercial ellipsometer at a spectral range of 350nm to 850nm 20 1.2.3 Real- time CD Monitoring and Control An advanced process control (APC) system for real time CD monitoring and control is proposed The framework of the APC system consists of a spectroscopic ellipsometer and multi-zone bake-plate In this architecture, the optical sensor measures the reflected light from the resist film... sophisticated equipment and each step has the possibility to induce some kind of error or defect and therefore stringent process monitoring and control along the line is required for real- time fault detection and correction This study is aimed to explore three major elements within the lithographic process to further enhance its performance: process control, process equipment design and process monitoring... then inspected and measured to determine their dimensions, which is known as critical dimensions (CD) Understanding the vital role of optical lithography in current and future IC fabrication and its feasibility to scale down integrated circuits in a cost-effective 6 way, advances in optical lithography must continue and it is appropriate to device solutions to undertake the challenges and to optimize... distributed and closed-loop temperature control method in the conventional hot plate is a source of process error in the 14 lithography chain Our objective is to design a new thermal processing system to achieve rapid dynamic temperature response and minimize the temperature nonuniformity during the transfer from heating to cooling process by real- time wafer temperature control method 1.1.3 Integrated Metrology . INTEGRATED METROLOGY AND REAL-TIME CD UNIFORMITY CONTROL NGO YIT SUNG (B.Eng.(Hons.),UTM) A THESIS SUBMITTED FOR THE DEGREE OF DOCTOR OF PHILOSOPHY DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING NATIONAL. in-situ metrology and efficient process control. This thesis presents a framework for lithography process monitoring and control which encompasses the development of an actuator, sensor and control. range for control during PEB. A control scheme based on merit function to match the measured and reference spectrums is proposed for real-time monitoring and control. Result shows CD non-uniformity

Ngày đăng: 09/09/2015, 18:50

Tài liệu cùng người dùng

Tài liệu liên quan