BÁO CÁO-THIẾT KẾ NHỜ MÁY TÍNH

253 263 0
BÁO CÁO-THIẾT KẾ NHỜ MÁY TÍNH

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

THIẾT KẾ NHỜ MÁY TÍNH THIẾT KẾ NHỜ MÁY TÍNH Computer Aided Design Nguyễn Phú Bình, Phạm Văn Thuận Bộ môn KTMT - Khoa CNTT Trường ĐH Bách Khoa Hà Nội Nội dung của môn học  Phần 1: Cơ sở thiết kế mạch logic  Phần 2: Quy trình thiết kế ASIC/ASIP  Phần 3: Ngôn ngữ mô tả phần cứng VHDL Copyright (c) 10/2006 by NPB 2 Lưu ý của tác giả  Không ñược tự ý sao chép hay quảng bá bài giảng này nếu chưa ñược sự ñồng ý của tác giả.  Địa chỉ liên hệ của tác giả: Nguyễn Phú Bình Bộ môn Kỹ thuật Máy tính Copyright (c) 10/2006 by NPB 3 Bộ môn Kỹ thuật Máy tính Khoa Công nghệ Thông tin Trường Đại học Bách Khoa Hà Nội Tel: 8696125 – Mobile: 0983533925 Website: http://phubinh.vicosoft.com Email: ngphubinh@yahoo.com binhnp@it-hut.edu.vn Thiết kế nhờ máy tính Phần 3 NGÔN NGỮ MÔ TẢ PHẦN Copyright (c) 10/2006 by NPB 4 NGÔN NGỮ MÔ TẢ PHẦN CỨNG VHDL Thiết kế nhờ máy tính Chương 1 GIỚI THIỆU CHUNG Copyright (c) 10/2006 by NPB 5 GIỚI THIỆU CHUNG Nguyễn Phú Bình Bộ môn Kỹ thuật Máy tính, Khoa Công nghệ Thông tin Trường Đại học Bách Khoa Hà Nội Nội dung chương 1 1.1. Giới thiệu về VHDL 1.2. Các bước thiết kế 1.3. Các công cụ tự ñộng thiết kế ñiện tử 1.4. Chuyển từ mã VHDL sang mạch Copyright (c) 10/2006 by NPB 6 1.4. Chuyển từ mã VHDL sang mạch 1.1. Giới thiệu về VHDL HDL HARDWARE DESCRIPTION LANGUAGES Tại sao cần có ngôn ngữ mô tả phần cứng (HDL) ??? Trước khi có HDL… Boolean equations design -Mỗi mạch cần thiết kế bao gồm các cổng logic và các flip-flops -Sử dụng các phương pháp tối giản hóa ñể ñơn giản mạch thực thi Chỉ phù hợp cho thiết kế nhỏ Trước khi có HDL… Schematic based design -Cải tiến cho phương pháp thiết kế sử dụng hàm logic. - Ngoài các cổng logic, các - Ngoài các cổng logic, các flip-flops, cho phép sử dụng thêm các môñun có sẵn -Giao diện ñồ họa Không khả thi cho các thiết kế lớn Ưu, nhược ñiểm của các phương pháp cũ Ưu ñiểm:  Dễ áp dụng Nhược ñiểm của thiết kế  Qua thiết kế chỉ cho thấy ñược kết nối vật lý trong  Qua thiết kế chỉ cho thấy ñược kết nối vật lý trong mạch mà không cho thấy chức năng của mạch  Gặp khó khăn khi thiết kế các mạch phức tạp [...]... a b FullAdder PLD, FPGA: hình b, c ASIC: hình d Copyright (c) 10/2006 by NPB 25 Thi t k nh máy tính Chương 2 C U TRÚC MÃ L NH Nguy n Phú Bình B môn K thu t Máy tính, Khoa Công ngh Thông tin Trư ng Đ i h c Bách Khoa Hà N i Copyright (c) 10/2006 by NPB 26 N i dung chương 2 2.1 Các ñơn v cơ b n c a VHDL 2.2 Khai báo thư vi n (Library) 2.3 Th c th (Entity) 2.4 Ki n trúc (Architecture) 2.5 Các ví d Copyright... (c) 10/2006 by NPB 32 N i dung chương 2 2.1 Các ñơn v cơ b n c a VHDL 2.2 Khai báo thư vi n (Library) 2.3 Th c th (Entity) 2.4 Ki n trúc (Architecture) 2.5 Các ví d Copyright (c) 10/2006 by NPB 33 2.3 Th c th (Entity) Th c th c ng, máy bay, ñi n tho i di ñ ng … Th c th (Entity) M i th c th bao g m hai thành ph n Các thu c tính, thông s (parameters) Các ñư ng k t n i vào, ra v i bên ngoài Th c th (Entity)... các thư vi n sau: LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY std; USE std.standard.all; LIBRARY work; USE work.all; Copyright (c) 10/2006 by NPB 31 Khai báo thư vi n (ti p) Các thư vi n std và work ng m ñ nh có s n, không c n khai báo S d ng thư vi n ieee khi dùng các ki u d li u STD_LOGIC, STD_ULOGIC, … Thư vi n ieee g m các gói (package): std_logic_1164: các m c logic STD_LOGIC và STD_ULOGIC... 10/2006 by NPB 28 Các ñơn v cơ b n c a VHDL (ti p) Copyright (c) 10/2006 by NPB 29 N i dung chương 2 2.1 Các ñơn v cơ b n c a VHDL 2.2 Khai báo thư vi n (Library) 2.3 Th c th (Entity) 2.4 Ki n trúc (Architecture) 2.5 Các ví d Copyright (c) 10/2006 by NPB 30 2.2 Khai báo thư vi n (Library) Cú pháp: LIBRARY library_name; USE library_name.package_name.package_parts; Thư ng s d ng các thư vi n sau: LIBRARY... tr thi t k m c l n và kh năng s d ng l i các thi t k : Là m t ngôn ng l p trình b c cao nên VHDL có th s d ng ñ thi t k m t h th ng l n v i s tham gia c a m t nhóm nhi u ngư i Bên trong VHDL có nhi u tính năng h tr vi c qu n lý, th nghi m và chia s thi t k VHDL cũng cho phép dùng l i các ph n ñã có s n Copyright (c) 10/2006 by NPB 16 N i dung chương 1 1.1 Gi i thi u v VHDL 1.2 Các bư c thi t k 1.3... khi VHDL ra ñ i, có khá nhi u ngôn ng mô t ph n c ng: Không th ng nh t Mang các ñ c trưng g n v i thi t b c a nhà cung c p Thu c s h u nhà cung c p Copyright (c) 10/2006 by NPB 12 Các ưu ñi m c a VHDL Tính công c ng: VHDL là m t tiêu chu n c a IEEE Không thu c s h u c a b t kỳ cá nhân hay t ch c nào Đư c h tr c a nhi u nhà s n xu t thi t b cũng như nhi u nhà cung c p công c thi t k mô ph ng h th ng... VHDL 2.2 Khai báo thư vi n (Library) 2.3 Th c th (Entity) 2.4 Ki n trúc (Architecture) 2.5 Các ví d Copyright (c) 10/2006 by NPB 27 2.1 Các ñơn v cơ b n c a VHDL Mã l nh VHDL g m 3 ph n cơ b n sau: Khai báo LIBRARY (thư vi n): g m danh sách các thư vi n s d ng trong thi t k (VD: ieee, std, work, …) ENTITY (th c th ): mô t các chân vào-ra c a m ch ARCHITECTURE (ki n trúc): mô t ho t ñ ng c a m ch LIBRARY: . THIẾT KẾ NHỜ MÁY TÍNH THIẾT KẾ NHỜ MÁY TÍNH Computer Aided Design Nguyễn Phú Bình, Phạm Văn Thuận Bộ môn KTMT - Khoa CNTT Trường ĐH Bách Khoa Hà Nội Nội dung của môn học  Phần 1: Cơ sở thiết kế. ngphubinh@yahoo.com binhnp@it-hut.edu.vn Thiết kế nhờ máy tính Phần 3 NGÔN NGỮ MÔ TẢ PHẦN Copyright (c) 10/2006 by NPB 4 NGÔN NGỮ MÔ TẢ PHẦN CỨNG VHDL Thiết kế nhờ máy tính Chương 1 GIỚI THIỆU CHUNG Copyright. thiết kế  Qua thiết kế chỉ cho thấy ñược kết nối vật lý trong  Qua thiết kế chỉ cho thấy ñược kết nối vật lý trong mạch mà không cho thấy chức năng của mạch  Gặp khó khăn khi thiết kế các

Ngày đăng: 18/05/2015, 18:31

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan