Hướng dẫn cài đặt synopsys và sử dụng gói DC trong synopsys

21 3.7K 68
Hướng dẫn cài đặt synopsys và sử dụng gói DC trong synopsys

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Hướng dẫn cài bộ phần mềm synopsys trên fedora 19 64 bit I. Chuẩn Bị: – File synopsys_digital.tar.gz – File chứa biến moi trường: csh.cshrc hoặc bashrc – File script để chạy license mỗi khi khởi động: snps_start_lic.sh – File license: synopsys.dat – Hệ điều hanh Fedora 19 • Tất cả các phần mềm trên các bạn có thể tải về: Synopsys All Tool https:mega.co.nzF3IBnACzIQ2p0XZHSD4uG5_QrAv6BOg

MỤC LỤC Hướng dẫn cài bộ phần mềm synopsys trên fedora 19 64 bit I. Chuẩn Bị: – File synopsys_digital.tar.gz – File chứa biến moi trường: csh.cshrc hoặc bashrc – File script để chạy license mỗi khi khởi động: snps_start_lic.sh – File license: synopsys.dat – Hệ điều hanh Fedora 19 • Tất cả các phần mềm trên các bạn có thể tải về: Synopsys All Tool https://mega.co.nz/#F!3IBnACzI!Q2p0XZHSD4uG5_QrAv6BOg II. Cài Đặt Bước 1: Cài đặt 2 gói sau: Vào terminal: Đăng nhập quyền root su – yum install -y gcc gcc-c++ ksh csh # cài đặt gcc và csh yum install redhat-lsb.i686 # cài đặt trình quản lí license FLEXlm #sau đó khởi động lại máy Bước 2: #sử dụng file explorer, coppy file synopsys_digital.tar.gz vào thư mục home. #vào bằng quyền root: su - #sau đó chạy 2 dòng lệnh tar -xvzf synopsys_digital.tar.gz -C /usr/ #giải nén vào thư mục /usr chmod -R 777 /usr/synopsys #full quyền truy cập thư mục Bước 3:Thiết lập biến môi trường cho synopsys: Vẫn truy cập quyền root gedit /etc/csh.cshrc & # một trình soạn thảo hiện ra. mọi người coppy nguyên #nội dung của file csh.cshrc mình đưa cho vào, rồi save lại. source /etc/csh.cshrc //sẽ báo lỗi, kệ nó exit # thoát quyền root # Chuyển sang C shell cho cả user và root. chsh /bin/csh #chuyển sang C shell. nếu máy ở C shell sẵn thì sẽ báo shell not #changed. kệ nó # sửa file license – không cần truy cập quyền root. gedit /usr/synopsys/scl/admin/license/synopsys.dat # trong file đó sửa dòng IC-lab thành localhost.localdomain và # SERVER localhost.localdomain 00238BD4FAA8 27000 Bước 4: Sửa card mạng về eth0: Truy cập quyền root. #sửa rules gedit /lib/udev/rules.d/71-biosdevname.rules # xóa dấu # ở dòng 15 thành GOTO=”netdevicenam_end” # đổi tên card mạng thành eth0, chạy dòng lệnh sudo nautilus # một cửa sổ file explorer hiện ra. vào thư mục /etc/sysconfig/network-scrips #coppy file ifcfg-enp5s0 hoặc tương đương thành ifcfg-enp5s0(coppy), đổi tên thành ifcfg-eth0. mở file #ifcfg-eth0, đổi dòng NAME=eth0 #sửa file Grub Đăng nhập bằng quyền root gedit /etc/default/grub # thêm dòng net.ifnames=0 như dưới hoặc tương đương: GRUB_CMDLINE_LINUX="rd.md=0 rd.dm=0 rd.lvm.lv=fedora_muddy/root $([ -x /usr/sbin/rhcrashkernel-param ] && /usr/sbin/rhcrashkernel-param || :) rd.luks=0 vconsole.keymap=us rd.lvm.lv=fedora_muddy/swap rhgb quiet net.ifnames=0" #update grub: grub2-mkconfig -o /boot/grub2/grub.cfg # khởi động lại máy. vào terminal gõ: Ifconfig # nếu có dòng eth0 là được Bước 5: Khởi chạy synopsys #dùng trình quản lí file coppy file snps_start_lic.sh vào thư mục home #chạy dòng lệnh: chmod +x ~/snps_start_lic.sh #tạo thuộc tính chạy Bước 6: Khởi chạy licence. (cần làm mỗi lần login để sử dụng phần mềm Synopsys) 1: Mở terminal su - ifconfig eth0 hw ether 00:23:8B:D4:FA:A8 2: Bật license server exit ~/snps_start_lic.sh 3: Chạy thử phần mềm dc_shell ## Design Compiler dve ## VCS pt_shell ## Prime Time fm_shell ## Formality leda ## Leda icc_shell ## IC Compiler Hướng dẫn sử dụng phần mềm DC trong synopsys I. Cài thêm 1 phần mềm giải nén và giải nén thư viện milkyway 1. Cài đặt phần mềm giải nén su- yum install unar.x86_64 2. Coppy file nén milkyway vào thư mục home và giải nén(giống như trong window) 3. Ở đây chúng ta sử dụng code thiết kế đồng hồ số trong thư mục clock 1. Coppy và giải nén thư mục vào home. II. Thực hiện mô phỏng. Bước mô phỏng này tương tự với việc sử dụng phần mềm ModelSim. 1. Truy cập vào thư mục chứa code thiết kế. cd clock1 2. Đọc thiết kế vcs -debug +v2k *.v Các bạn kiểm tra xem có lỗi hay không nếu không có lỗi ta bắt đầu mô phỏng 3. Thực hiện mô phỏng vcs -debug +v2k *.v III. Sử dụng phần mềm DC. 1. Truy cập vào thư mục chứa code thiết kế 2. Mở phần mềm design_vision 3. Cài đặt các thư viện cho việc thiết kế File  setup [...]... home/tên pc Kích vào milkyway  tcbn45gsbwp_120a  frame_only_HVH_0d5_0  tcbn45gsbwp  LM  OK b Link library Xóa your_library.db Add Back về home/tên PC Kích vào milkyway  tcbn45gsbwp_120a  frame_only_HVH_0d5_0  tcbn45gsbwp  LM  tcbn45gsbwbc.db  OK Add  Back về usr /synopsys Kích synopsys  dc  libraries  syn  dw_foundatio.sldb  open c Target library Add Back về home/tên PC Kích vào milkyway... usr /synopsys Kích synopsys  dc  libraries  syn  dw_foundatio.sldb  generic.sdb 4 Đọc thiết kế File->analyze->Add chọn tất cả các file *.v trừ file testbend Ok File->elaborate Library, chon WORK DESIGN là topmodule(verilog).OK Gõ lệnh: set_svf topmodule.svf Đây là file kiểm soát thiết kế Xem toàn bộ thiết kế - chọn file top clock trong logical hierarchychọn create design schematic 5 Cài đặt thông... bình thường trong điều kiện 0.9V 25oC Chọn tất cả đầu ra đầu vào chọn tiếp Wire load chọn 512K Chọn designcompile  OK Compile options Top level – Làm việc từ cao đến thấp Ungroup – Không nhóm các cell nhỏ theo chức năng với nhau – lợi ích nhỏ gọn IC Tổng hợp xong chọn vào đây Kết quả thiết kế theo ungroup Kết quả theo topmodule 6 Lưu thiết kế compile_topmodule.ddc - save as dc_ clock.v - gõ vào design_vision:... Rising – Falling – hiểu đơn giản là từ lúc bắt đầu sườn dương của xung clock và kết thúc sườn dương của xung clock Chọn tất cả các đầu vào  attributes  operating  input_delay Chọn các thông số như trên Lưu ý Max rise và Min rise thường lấy thông số bằng 1/10 chu kỳ của xung clock – đây là độ nhạy tín hiệu Chọn tất cả đầu ra vào  attributes  operating  output_delay Chỉnh các thông số như trên Chọn... đây Kết quả thiết kế theo ungroup Kết quả theo topmodule 6 Lưu thiết kế compile_topmodule.ddc - save as dc_ clock.v - gõ vào design_vision: write -format verilog -hierarchy -output dc_ topmodule.v clock.sdc write_sdc topmodule.sdc clock.sdf write_sdf topmodule.sdf Kiểm tra xem có đủ 4 file trên chưa: set_svf –off . Formality leda ## Leda icc_shell ## IC Compiler Hướng dẫn sử dụng phần mềm DC trong synopsys I. Cài thêm 1 phần mềm giải nén và giải nén thư viện milkyway 1. Cài đặt phần mềm giải nén su- yum install. file nén milkyway vào thư mục home và giải nén(giống như trong window) 3. Ở đây chúng ta sử dụng code thiết kế đồng hồ số trong thư mục clock 1. Coppy và giải nén thư mục vào home. II. Thực. Cài Đặt Bước 1: Cài đặt 2 gói sau: Vào terminal: Đăng nhập quyền root su – yum install -y gcc gcc-c++ ksh csh # cài đặt gcc và csh yum install redhat-lsb.i686 # cài đặt trình quản lí license

Ngày đăng: 27/01/2015, 15:17

Mục lục

  • Hướng dẫn cài bộ phần mềm synopsys trên fedora 19 64 bit

    • I. Chuẩn Bị:

    • II. Cài Đặt

    • Hướng dẫn sử dụng phần mềm DC trong synopsys

      • I. Cài thêm 1 phần mềm giải nén và giải nén thư viện milkyway

      • II. Thực hiện mô phỏng.

      • III. Sử dụng phần mềm DC.

Tài liệu cùng người dùng

Tài liệu liên quan