Điện Tử - Cơ Sở Thiết Kế Mạch - Design Trên Máy Tính part 6 pps

7 508 0
Điện Tử - Cơ Sở Thiết Kế Mạch - Design Trên Máy Tính part 6 pps

Đang tải... (xem toàn văn)

Thông tin tài liệu

Ihiel litlll dc' c,llh6i gi.m Ire eua lill hi¢u khi eli Llua c.le phan ILl' [(lgie \'il c.lc lto,m mach. Thi\i gian Ire nay ,Inh !llfling l6'n den ho'.11 d6ng ella mach lrong tlwe Ie'. Do cit'! \'I¢C I)1l) 1,1 V~I XLr Iy' Gie gla Iq Ih('1i giatl tr~ trong c,le IlllC'1 hi I[nh \(Xlll d{IJlg val Ire) quail Ir9ng. Trong me) hlnh don gi,ln nh[11 \'a ph() bien nh,il ell<1 de phflll til' l()gie. mQI IhU(lC Linh cua Iho'j gian lruy~n lin hi¢u qua 111' leh [I Ih6i gl,m Ire Ihu[ln Ill~' '" . Twng tru"(~mg h~p Ilily. Ih(1i giom Ire eua mach gum de phfin It! ehCre n~ing rn~ie nl'li liC:'p sc htlUg Iring de Ih('1i gian Ire eua C,IC ph[in Il'r chCrc n[mg V(I lhi)'i gi<ln tre ella ule phrin tu' licn kel. Thong lhui:mg L!lb'! gian tr~ lrong dc ph[in IU' 1<1 nhung d<.11 lU9ng ngtw nhien. do cit'> \'iCcc tinll (i0'n C,IC gi,. Iri Ih(\i gwn Ire phc'u sit dl;lllg cae plllrO'llg phap thong k0. Thlllig thU'l11lg cae IIha >;,.In xllAt dlia ril gia [ri cLfc d'.Ii Clla tho-i gian Ire. D()l khi de cling dp (hiy du th6ng tin hall \'C Iho-i giall Ire, nglrh'i ta Cll the dlta ra giii Iq CLtc dai \'il nhiJng gia tri li2u hieu. M()l c,lch (by (ttl hcm la c6 Ih':: ulng C[lp gi,i Iq L"l rc dai \'il qre lieu cua lho-i gian Lre. Trong nhlIng tnl'(\ng IH.'p Ci11l d() chinh xile cao ngu'i\i til dn phili eung cilp nhiing (be linh Iheing \ l' ella Lhll'1 gl<lll Lre nhu k-5' \'(.mg Imin hqe E, plllf0ng >;ill 0' \':t 1116 la ~~l' phu IllLl(lC ella Ihl1i gian Ire \,~tO nllu'llg di~u ki~n ll1l)i Iru'lmg hen ngo;lI nhu nhlC! d(l, d(l [im. d{l drill di0n !\":;u ell! bict gl.lln ql'e di.1I ella Ihi\j glall tre. dlii \'()'j lIll)1 Ini.KI! Irll) en [Ill llieu h:11 1 :5'. la clll e6 the ~h"1I1g dPlh du't,l'e rl\J1g [In hlCU khi di qlla 1ll<.Ich s0 b! Ire khong h,'11 IHm Iring Ulc gJiI Iri qrc d'.li ella lhb'i gian Lre. Ttl d() llH)1 h{' qu,'t quan Lrong 11<IY ~inh Iii chung La kJlrlflg ('(I kil(1 filing VI .\'/11111 {II/if giull lUll 11'11.\"(;'/1 f/ll /II~"II (/lIiI ('(il d(llill 11111('/1 JJl!ic II/III/I. Trong mqi dOi.\n m'.Ich gi'l Iri thiji gian Ire e6 [he" nllt) lU~' ~'. N0\1 ehLlllg la hlCt gl:\ LI"! qrc d'.I1 va qre tleu clla Iho-i gian Ire. khi d<'l lrung llWi dO;.1ll m •. teh 1<1 c6 Ihe x;ie dinh gi;i Iq el.re (t.li vii qle Ihiu ella Ihb-i glall Ire. Trong tru'lmg IH)'P n:IY, ta c6 Ihe so sunh dU\ie cae thl)'i gian tre nllll'lIg Kc't LIU,"t e6 the x;ie djnh hO(IC f hOng .: ac dillh. Dicu d6 ph~1 [hU(IC VUlI c.ie Iham so Ihb-i glall Ire eua de ph:in ILr vi:t s61lfqng de phtln ILr trong do'.1Il Ill.teh. Trollg nhCrng tnr('-mg hup gi(1i hi.tIl cia hie'!. thl1i gian Ire Ihli0ng dU\K xae lIlllh bill1g e,iell linh den nhCrng f h;'l n[lI1g x{iu nh:il lrong qua Irinh IrUYCIl Ifn !lIcit. each linh \lay dl.J'a lrcll gjii thlct nhu sau: thl1j giiln tre ella lin lll~u klli di qua de ph{in ILr co Ihe dWK xac dinh th6ng qua nhCrng tn)' ng'.ll kill dam bao nhO'ng ehC' dQ 1(1111 vi~e xiic dinh eua philn lir. V6'i ehe' dc) Eun \'i~e [Y' 36 tw'mg. Ih()'j f!IClI1 Ire c6 the COl nlm g{ll1 bimg kh6ng. Khl kh{mg th':; (bm han dU<.K che' dCl \i\111 VI¢C l~' tw'mg do :l!lh hu'()-ng lit bell ngo;u. th(ii ~lall lrl; eua tin hlCll sf t,"mg kn. Tronl.! Iruo-ng hrjp dlj"J1 gian nhlll hili tin hicu hll\\ll~ b\ ph,in ILl' lam meo. thi)'] gian lr~ Ian IrLlyen c6 the dunc coi El elf) \¢ch pha ella till hieu ra tunng ling \'(1'i tin hi2u V;10. Noi chung plllfO'n,g phap ]lil) dli tinh den nhilng trLfll'Ilg I1l,)"p co kh,i n~lng Xll)' ra nho nh,"lI lrollg m'.lch l.\ic lhcll ki¢n r~mg hU(K c{) the dlfCiC X:lC d!nh nhu' sau. Ta .\a hal throng truyen tin hl¢U. mClt dU'lmg chLra: J 1 ph"lll IlC du(mg lh(r- hal chua N\ ph:in ILL Cii,'] thie, rtll1g Ni > 1\,. +)(:;i \'6i nhCrllg m'.lch 16c d() cao. la din ph<ii lfnh Je'n Ih6"i gWtl Ire eLla d nhi:i'ng m,.tch li~1l 1 0'\. T{;lIg lh(\i ~iall tre Irong !lhO'llg nwch hen k61 dUQ'e k)' hi2u 1;1 I" v~\ sc duqc I [nh g(ljl \'l)'j Ihi)'] gian Ire ella ph'ln ILL Thb'i gial\ tre lui Ihi~L! Iren dui:mg tin hi¢u eh(ra l':J. nh6111 phrln tIl' ~c bang II I11LI1= N I., Idlllill. Thoi gian Ire 16i dOl tr~n dU'ong tin hi~u chua N\ nhom phfin Ill' ,,12 hang: t.'iLll;h"" N". tUlll<L.\ Trang qua Irinh Ihie't ke la cfin Ihm't m~ln dicu ki~n 11 't: , hay !it: NJ / N: , "> tJm,)\ /1,1111111' t\lllr \'(Iy, nC"ll h¢ thu'e lrclI tho.'t man Ihl IronS Inri\ng hl.~P X[IU nhSI lin hi¢u Iruycn Iheo ducmg co it ph::in ILl' se nhanh hcm then dui:lng cli(l'a nhicll ph.ln Ill'. t\'6u linh d'::"ll nhung dale tinh Ihting kc eua thai gian trG chLing La e6 the' ea nhling danh gia ehfnh x,ie hO'n vc thai gian trc ella lin hi¢u khi di qua de phfin Ill': 'O \'(1i phuong phClp ctlnh gi.i Iheo kh,-! n[\I1g x,Yu nh:I'!. eii;.! Ihiet ril11g. eac gILl. In Ihl1i gian ln~ Iii cae d'.li IU\l11g ng[IU nillen L10e -h~p va e6 ph[111 b6 xac su:it gfin voi d'.l!lg ph,h) b6 Gall"s. CiI:1 Ihi'::"l n(IY dUl)'C olJa tren co Sli cae nghien eu'll d~c tinh ella cae pho:in ILr !6gie. Y 6i nhung clieu ki¢n do, thai gian Ire lrung m()1 do' 1Il 11Weh gC)1TI de rhein ILr mite noi ti6p se duqc eoi 1a d'.li lu,<!ng ngau nhien c() ph<.ln b6 xae sufll dano Gau: ,s vo-i ky' von(~ to,in hoe E va phuon o sai 0'2 IiI 1011(~ eua de: k\' VOII\!. . /;' . /;', /;' /;' -' ~. loan hqc E, va long ella Ule: phuCing sai 0"/ ella piJClll h6 x,ic slI(i( ellLt de ph,'in tu' Ihanh ph[in. Khi do hi~u .1 eLla thb'i gi;lll Ire Irell dO;I') ll1'. ,eo nhi6u phjn tll' ,,6i Ihi'ii ~ian 1re Ircil c1OL,lIl nwch ng;'lu nhien co ph,In bu X;1e: ~ufll d,.mg Ci" E(,\) 1-_((,)- 'I', 11 ph:in 1<1' hCitll dll.s la d'.li lu,mg , \,()'i ky \,(Ong 10;\n hoc' E htUl)C,' 37 trong d6 E( \[, )V;I E( 1:, ) III k)' vqng. to,l\l hqc ella thbi giun tre tren dm,lIl mach I, vii S: va phvl1tlg sai DC\) ~ D(t,) ~ Illt,) !mlll; til) J)(!~) V~I D(I.J Iii plllfn'ng sai ella thl)'i gi,m In': Ir2n c\0, lt11l1, \Ch L \';1 S. D~ lrallh xung d()1 thl tin hi~~u Ir211 du'cing e6 nhicu phan IV phi.'ti d~ll ch,-Jm hon t[n hi2u trcn dufmg c6 il ph{in Ill' han 1l1(1t kl\(xl.ng thai gian Ian hu'n ho'.le I1flll£. f r , X<ic su<Yt de diCll ki~ll ni:ty h! ph,i \'0 e6 the (hJ(l'C xac d!nh nhu ~au: ta xi'll' lImh d'-,Ii Im.mg: E(,0.)-1'1 n ' 0-("') Irong dr), crl,',) =, Il("') x,\C ~u:\l dicll ki¢n tnlllh '\ung dOl b! phii yfj Iii Xite ,~ll[\1 eua Iru'G'ng iWp dai Ilrnng ( L\ - 1:\ ) I¢ch khai E(.3.) 11101 dm,lll lXll1g 1/ do l¢ch quy chu,1n. V{ij gi<.1 In 1/ > 3, xLie sU<.YI nay du\:iC Ifnh giin dung Ihea e6ng link: Khi ",ic 1tinh gi'-l Irj Ihhi gian Ir~, ta Gin ph:ii l[lIh dc"n ;inll hl(1))lg eua nhl~1 d(), ella 1;li, ". 10n lio,-,II d(lllg cLla ph:1l1 ILL Cie tillh to,-in lIeLi Ircll lrong HUang hO[l thtJ'i gian Ire Iii (h,li luqng ngtlLl nl1H:-n dU\lC sv dl.lIlg cho Inri)"ng hQ'p Il1<,lch duqc x[IY dl,mg til' nhu'l1g ph[in ILr n~Ilg bi¢1. Khi 1ll:'ICh dll'qe 1~,IO )\1()1 c,leh d6ng nhrll Ircn m()1 tinh Ihe, Sl! 1,'111 1l1'.111 IlJ'O"ng d6i e':I<,1 Ihbi gian Ire gi,-\m di do Slr tlfung quail giCra c,-ie ph'lll tLI' mach, Ih(ii giall Ire lril' nen g<.lll nhv U'll d~nh. 2. eie m~ch U; hqp va cae m,~ch tmill, tl! Sv phfln ehia eSc mach s{) th:l1lh c,Ie m'.lch to hqp \';1 eac m'.leil tu[in III XlI,-Yt ph,-t! tv e[le dicmkh{lc bi¢! cei b;m giiJu ute d~lc linh eua chung. Cic bien dilu ra ct'Ja cae 111i.lch t6 h9'P chi phl;! tilll()C v;tO eSc tac d6ng \,ZIO m;.lch lai Ihai ditm hi¢n !ai. eic m~lCh tu[in tLr tinl! toan cae gia tf! ra d\-J'a \,:10 cae gi,i Ir.i chlU vao khong chi tai thO'I diem hi¢n lai m:l clm phl;! Ihuoe Ci\ \';10 nhCmg Ir' l11g Ih.ii Clla mach tlnh ILr l!lfji di~m dang xct Irl") \'C tnr6c. C.ic tr<.ll1g: thaI clIa 1l1<.lch 3X lu~in Ill' chroe ltru Ifll" \'(\0 Cal' ph[in III nhl'i lrung lh~'tIlh ph,ill elLI :1',_, Tr:lil,O!. Illal ella me.teh lai mIll thb'i dicm 1;\ h~l1n ~6 ell;! eiL' Irdng Ih,'11 l'lld 111.t,-,11 \'i] de gICi If! (till \'au tal cic Ihui ,ilem Iru'Uc c!(). :"JIll! \,~]'y mach IU,lll tLl' bl':'ll doi lll(li chulii de giii Ir! ella uie lin hil'u \'ill) th:inh ellUl'll c,ie gi,'t ll"! lll<l lin 111¢U ra. Cac I11<-,lCh ~llan Ilj dlJq"e e:lu 1,.\0 Ix\'i hai rhein: de h() ph,)n n\J,i' lk lUll trD' cac Irang thai eua 11Weh: \'~t mach 10 hor dung dc dlCll khlC:11 cell' plt'lll ILr nha viI hlnh thilnh de gi:i Ir! tin hi¢u ra. Trong ky Ihu:lt tinh loan. de m<'leh (() hqp l:t ceil' mach 1l1~l llO,i, ~1.l1 IlIa. b(l '-;0 '-;,Inh lin hi\'u. htl c(mg. Cae 111<.1eh tuSIl I~r IiI Ciie tngn. c,lc Ill.ICll nl1(\. thanl! ghl. b(l dC:ll1. Ci.c phuong ph,ip t<:-;ng hC,lP \':1 [1h;'1Il lich c,il' 1I1.ICII til hqp clel'n gian hOIl so \"ai mach Il!<l:n tl.J. Trang qu,i tr1nh IhiC:'1 kc. Ule m'.leh so Ihu'(lIlg dunc bicu dicn h;-Il1,~, nlll':u plwo'ng ph,lp. \'i d~l nhtr b~lllg e(le lX'HIg, 111a Inll1- di) thl h:IC haJl~' elL' (l\()]Jl.II lvL.leh te) l19'P \'LlCh il il()' Till illeli 1'a ~ Tll~' 111ll(lC \';\0 Uch Illlf(~lL \':\ d(l plll're 1,,1[1 ella lllilCh m~\ l'lll~l\lt', 1.1 Ina ch(.l1l plllrll'llt-' plJ,'ijl tl1ich lhip. \'1(lt cach Icing qu:'il. ca 1l1<'lcll i(i hnr 1,ln Illi leh tll;ill Il! c6 Ih(' dl1'(.1'_' l'l1ell (llC:ll h.l1l~ (,IC (J!(lillal. C;\"" lltlll1lclt C(~) till' dll(,"( blell dicn hallg sO" d(i kl'l h( JP giCi'a mach nh6' V~I mach h-i h(l"p. 1\1;.leh nh6 dung ue luu IrD' Ir',lIlg thai ebn mach tci hop dl!!lg ue tillh Ciic tf'.lllg I1lnh 3.2 So cui ()](llllal hitu dl!~l1 111<1cl1 (0 llil'p_ th,li mO'i y~t de lin hi¢u ra mll'i d~ra \'ito lin hi~'ll (till \':lO, de tri.lIlg thai eel. Vi til,!, llH)\ I1li.leh II) hup b,l\ k~i e6 the du\x hicu dicil btlIlg Im}l lll(lInal ell h,li tr,.mg th<-ii 'I' vii '0': tIn hicu d:iu ra (hrCiC x(tC dinh Ihell In.lIlg Ih<-ii eua l'llomal: hilln chu)'c'n trang th,i! \;Hl tn.ll1g Ih[li 'I' ehinh l~t l1il111 logie hicLl dicll eht.'"rL' n;-Ing ITI'-,Ieh: tu tr~lIIg Ih<-ii '1' h¢ Ih6ng ehuycll \"(~ tr'.lllg Ih,il '0' bhng tIn hi~u xiic dPlh bhng ham (t;\o CLld hhm clll1'c nang. 39 §J.2. Cae phan hi logic co' ban Trong qUii trlnh thiet k6 cae m'.leh tfch hqp co m0t s6 phall tli ]{)gie co b<.ln dlll:e Slr d~mg ph6 bien. Vi~c thl!e hi~n cac phfin tll" l6gic n~ly plW thw)c ,,~\O c6ng ngh¢ S,111 XUftt linh ki¢n di¢n tLl" nhu' c6ng ngh¢ transistor CMOS. c(lIlg ngh¢ transistor truong:. TrL. TILS v.v. Cic phfin IU logic C0 bitn g6111 phfill tll AND. OR. NOT. XOR. NOR. NAND. ngoai ra trong nhi~u tnl?mg h(.J"p phAn tlr dong ngat cung duqc coi hI phan tv co ban. Trcn hlnh 3.1 dU'a I"a ky' hieu cae phAn tlr C{j b,'lll \'6i hai dfiL! \'tto. : & \' ~z=xffi\' ~ _:~. c8 z ,\ OI",J -' -[> ' c '- IIlnh .'.3 Cit phJn Itr 16g1C CO' h,in. x. y: de d[lulin lJieu \'ilO, z: chill tin hieu fa, (i: dlrCrllg: lin hicu dlClI klll':n. s S~: c,ic lin hieu. Tren quan didm ve kh'l nang x<1y dl!ng de h~lIn logic b,ll kyo l11()t sO' phfil1 tll" co 1);'\11 hqp thanh h¢ day duo Dieu do co nghlil J~l \'o-i dc h~l.In co' b,lll tham ~B )' Or 7=XorV = lIillh 3 1 XiJy dlrng pldn tIl OR him!, cae ph[in tlr NOT \':1 AND. gia \'~lO h~ c!:ly duo ta co the x<1y dl,t"ng 1TI9i hum I()gic. Ta co h¢ de ph:iJ1 tlr AND. OR. NOT (,.to thanh m¢t h¢ day du VI ta co the \ft)-! dl.i'ng 1110i 11;\ln 40 logic thea cae d' tng ehUi.tn tile luyen ho~e hQi vo-i st.r tham gia cua de phan Itl n{li tren. DLfoi d,-ly ta xet mOt ~o h~ dfiy dll de phfil1 tu logic co ban. • H¢ pher tmln baa gam de phfin ltl" NOT \,~l AND. Ta nh(lll thfty phep toan OR co Ihe duqc bicu dlen nhLf sau qua NOT- AND: ::=XVY=XI\.V ( 3.1 ) Do do h~ hLim gcll1l C,-lc phfin tu NOT V(I AND 1<'.10 th~Ulh m()t h¢ day du. • H~ phep tOi.\n hao gam de phrill tu t\OT va OR. Ta e6 phep Im1.n AND co the duoe xfly d~rng II"I:n c("l ~()' ella phep to<in l'\OT va OR theo h¢ Ihu."c dU(1i d,ly. Do d6 h~ ham bao g{llll ph:.i.n tll" NOT va OR cling t' LO thanh h~ day duo Z=XI\)'=XV Y ( 3.2 ) • H~ NAND \'a NOR: C'L.__ Z 'D . x v y = Ilinh 03.5 Xity rhmg ph<"iultr NOR/NAND Iren co sa ph[in Ilr NAND/NOR. Trang ky thU(lt thiet k€ de m<'.ICh so. de phan tli trong h¢ NOT-AND ( NOT-OR) dWl"C kct hOp 1i Li thanh phall lli NAND ( NOR ). Trcn hlnh 3.5 = = lIinh 03.6 Xfty dtfl1g phSn Ilr NOT lraug he NAND/NOR. 41 Iii co S0 X;}y dVng ;1hein tlr : JOR (NAND) trong he rh:in Itf l\:\l\'D ( NOR ). Ta nh;m Ih,-ly, lrong h~ ph'ln IU NAND, cOng gi6ng nhu lrong he rhan tLl' I\OR, rh::in tu NOT e6 th~ nh~n au9'c m(1t c,-Ieh dun gl,in lir rh:in IU' NAI\D hO~lc NOR nhu trang hinh 3.6. Ok phan tlr AND V:l OR cling de d~l1lg nh<:l11 c!w/ - Ill' cae phun tu NAND Y:I NOR qua cae h~ thue logic JO'II gian ~au; Trong h¢ phfin IU NAl\D. Trollg h¢ ph[in IU NOR. xl\y=XI\V X\ly=Xl\y XI\}'=XVy (0.3 ) ( 3.4) ( 3.5 ) XV)!=xvy (3.6) Nhu' vay h~ phcp to(ll\ chi co m()t ph'ln ttr I\Af\;D ho~\C m(lt p\1:in tLl' :-lOR El mC)1 h~ tt:i)' atl. • Phan ttr MlI1g n;;ftl. Vc khia qlllh logic phan Ilr [lay la phein IU truyen tIn hi¢u. Phfin Itf dong ngal thvc hi¢n chu:c nang nhu 111(1t J"O'k. l\'eu tren dUCrng dieu khi6n (J till hi~u nh~tn gia tr"! 'I', khi d6 kho;."i dong \'a tin hi¢u 51 (hroe IIlnh 3.7 PIl,1I111rdullg nj.!dl, tmytn t6i du'(mg S.,. l\C:u gi'-I tri lin hlCLl (; b;\ng '0'. khoa l\1eJ Va tin hi~u kh6ng truycn qua dw\ng SIS,. Do ph,\n Itr n~\y c6 chCfe n(lIlg nhu 111(11 mi lch d6ng ngftl, nhu cia chi ra lrong Il1l.JC 2.! clla ehu'tJ"ng 2, ta co Ihe: x,iy d~rng cae ham logic chi tu e,ic mi tch lkmg ngfll. Trong Iflf('mg hqp nay 101 cfin co duong tin hl¢u .\ eti d{)i \'6'i dui:l'ng lin 11H.:~u cua hicn x, do d6 nhung mi teh logic c1U\l'C x<1y cI~rng tll: nhung phfin ttf d6ng ng,il tl1lfCrng dWJ"c gQi Iii m'.lCh I()gic hai duang. Trong nhiIng lll<,leh nilY cae clLrO"ng tin hi~u (huang xutit hi¢n theo c~p x d .r. Trang eong ngh¢ che' 1,.\0 nhung 1l1<,lch c6 elt) tich hQ"p sieu Ion VLSI. nhii'ng J1li.,lCh m(ll dU'ong Ihuo'llg c!trl/c "u d\lI1g. Khi do gi,i Ir! x (tuqc 1,.10 ra hJng ph{ln Ilr NOT. Do d6 lil c() Ihe n6i dng de phlln Ilt d6ng ngftt ya ph:in Ill' NOT t,.\o th~lI1h m6t h¢ (by du dc phcp tolln. • Ph[ln Itt XOR. Ph,\n tu' XOR Ihvc hi~n phep tOllll IO~li Irll' logic. Phi.ln Ill' illlY dung rieng hi¢1 kh6ng the! t~\O thanh h~ thiy au clla cae phep IOlln 16gic. Trang ml)C 2.2 ella chuong 2 chung ta eta Ihtly Ill{)t ham logic c6 the duqc 1,.\0 IhaJlh Itl cae 42 . thiet k6 cae m'.leh tfch hqp co m0t s6 phall tli ]{)gie co b<.ln dlll:e Slr d~mg ph6 bien. Vi~c thl!e hi~n cac phfin tll" l6gic n~ly plW thw)c ,,~O c6ng ngh¢. b,'lll '6i hai dfiL! 'tto. : & ' ~z=xffi' ~ _:~. c8 z , OI",J -& apos; -[ > ' c &apos ;- IIlnh .'.3 Cit phJn Itr 16g1C CO'. gi.i Iheo kh ,-! n[I1g x,Yu nh:I'!. eii;.! Ihiet ril11g. eac gILl. In Ihl1i gian ln~ Iii cae d'.li IUl11g ng[IU nillen L10e -h~p va e6 ph[111 b6 xac su:it gfin

Ngày đăng: 10/07/2014, 02:20

Từ khóa liên quan

Mục lục

  • THIET KE MACH BANG MAY TINH

  • MUC LUC

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan