báo cáo môn học vi xử lý khai thác phần mềm proteus trong mô phỏng điều khiển

34 995 0
báo cáo môn học vi xử lý  khai thác phần mềm proteus trong mô phỏng điều khiển

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

II Báo cáo môn học vi xử Khai thác phần mềm Proteus trong phỏng điều khiển BÁO CÁO MÔN HỌC VI XỬ VI ĐIỀU KHIỂN ĐỀ TÀI: KHAI THÁC PHẦN MỀM PROTEUS TRONG PHỎNG VI ĐIỀU KHIỂN. GV: Ks. NGUYỄN BÁ THUẬN SVTH: NGUYỄN ĐỨC HIỀN KHOA: CƠ ĐIỆN. ĐỒNG NAI THÁNG 9 NĂM 2008. MỤC LỤC: PHẦN MỘT: GIỚI THIỆU VỀ PROTEUS PHẦN HAI: MỘT SỐ DỤ I-VÍ DỤ LED 7 ĐOẠN II-VÍ DỤ LED MA TRẬN III- DỤ LCD. IV-VÍ DỤ LED ĐƠN. V- DỤ ĐỘNG CƠ QUAY THUẬN NGHỊCH. DANH MỤC TÀI LIỆU THAM KHẢO. Phần help của phần mềm proteus 7.1 Một số Ebooks của các bạn sinh viên. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Trang 2 PHẦN MỘT: GIỚI THIỆU VỀ PROTEUS Proteusphần mềm của hãng Labcenter Electronics, nó phỏng được cho hầu hết các linh kiện điện tử thông dụng, đặc biệt có hỗ trợ cho cả các MCU như PIC, 8051, AVR, Motorola.Các bạn có thể tải bản demo tại địa chỉ http://www.labcenter.co.uk , đây là bản demo, nhà cung cấp không cho phép Save chương trình. Công cụ ISIS cho phép chúng ta thiết kế và phỏng. Công cụ ARES cho phép chúng ta thiết kế mạch in. PHẦN HAI: MỘT SỐ DỤ I-VÍ DỤ LED 7 ĐOẠN: Trong dụ này chúng ta sẽ phỏng chương trình hiển thị led 7 đoạn đếm từ 00-60. 1. Khởi động chương trình như những chương trình ứng dụng khác. Ở đây mình dùng Proteus 7.1 Sau đó ta có giao diện của Proteus. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Trang 3 Thanh tác vụ Thanh trình đơn Thanh công cụ Thanh phỏng VÙNG THIẾT KẾ Vùng hiển thị các linh kiện BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Trang 4 Công cụ Giải thích Công cụ Giải thích Làm tươi màn hình thiết kế Bật tắt lưới cho bản vẽ Chọn gốc tọa độ. Các công cụ phóng to thu nhỏ. Copy, di chuyển, xoay, xóa. Công cụ chỉnh sửa, tạo thư viện Công cụ tự động đi dây. Xuất danh sách linh kiện, kiểm tra lỗi mạch điện, xuất mạch in. Con trỏ,thêm linh kiện, gán tên đường dây, thêm text, vẽ đường bus, mạch phụ. Nối đầu cực, vẽ chân linh kiện, hiển thị đồ thị, băng ghi, máy phát tín hiệu, đo volt, đo Ampe, các thiết bị ảo. Các công cụ vẽ 2D Để lấy thư viện linh kiện ta làm các bước sau: Click chọn biểu tượng. click vào nút P bản linh kiện sẽ hiện ra ta tiến hành chọn linh kiện. các thao tác giống như các chương trình ứng dụng khác. Bây giờ ta tiến hành thiết kế mạch điện. 2. Lấy các linh kiện. a>lấy IC AT89C52: 9 Click vào chữ P. cửa sổ Pick Devices xuất hiện, đây là cửa sổ chính để ta lấy các linh kiện. Trong Proteus có hỗ trợ rất nhiều linh kiện như MCU, PIC, AVR, 8051 và nhiều họ khác. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Trang 5 ở textbook Keyword ta nhập chữ AT89C52, sẽ xuất hiện IC89c52, ta chọn như hình. Hoặc ta vào Category> chọn Microprocesser Ics ở đây có rất nhiều linh kiện. xong ta click ok. Tại cửa sổ thiết kế ta click vào vị trí bất kỳ để đặt linh kiện. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Trang 6 b>Lấy thạch anh dao động, tại cửa sổ Pick Devices ở Keywords ta nhập CRYSTAL (hoặc tìm ở mục Miscellaneous trong Category ) Để xoay các linh kiện, bạn RightClick vào linh kiện muốn xoay và chọn các chế độ xoay ở Menu hiện ra. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Trang 7 Ngoài ra bạn cũng có thể dùng phím tắt như sau: 9 Muốn xoay cùng chiều kim đồng hồ bạn nhấn phím “trừ” bên vùng phím số. 9 Muốn xoay ngược chiều kim đồng hồ bạn nhấn phím “cộng” bên vùng phím số. 9 Muốn xoay đối xứng bạn nhấn phím “Ctrl+M”. c>Lấy điện trở. Ta nhập RES vào keywords.(hoặc click tìm trong Resistors của mục Category) d>Lấy nút nhấn: Ta nhập BUTTON vào keywords (hoặc click tìm trong Switches & Relay) BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Trang 8 e>Lấy tụ không phân cực: Ta nhập vào CAP vào Keywords (hoặc click tìm trong CAPACITORS trong Category) BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Trang 9 f>Lấy tụ phân cực: Ta nhập vào CAP POL (hoặc click tìm trong CAPACITORS) g> Tiếp theo lấy led 7 đoạn: Ta nhập 7SEG và lấy linh kiện là 7SEG-MPX2- CC (hoặc click tìm trong OPTOELECTRONICS). [...]... tự động bằng cách click vào biểu tượng Auto router và khai báo như hình để tạo mạch in 1 lớp với lớp top Ta click vào Edit strategies để khai báo mạch in một lớp và khai báo như hình dưới Trang 27 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK Phần POWER ta khai báo như sau: Tương tự phần SIGNAL ta khai báo như sau: Trang 28 SVTH:NGUYỄN ĐỨC HIỀN BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Sau đó click... sau khi đổ đồng xong ta có hình như sau: Trang 29 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Ngoài ra ta có thể xem 3D hình mạch mà ta vừa thiết kế bằng cách vào Output>3D Visualization, ta sẽ nhìn thấy hình phỏng thực tế như sau: Trang 30 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN V- ĐIỀU KHIỂN ĐỘNG CƠ QUAY THUẬN NGHỊCH: Trong dụ này ta lấy các linh kiện như những ví... này chúng ta đã vi t bằng những phần mềm biên dịch ngôn ngữ Assambly sang file *.HEX là file mã máy dụ như chương trình Pinnacle Click vào đây và khai báo đường dẫn file *.hex Khi đó ở phần Program file có đường dẫn của đoạn chương trình chúng ta, như vậy Vi điều khiển đã được nạp xong chương trình Sau đó click vào để phỏng ta có chương trình chạy như sau: Trang 12 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK... 25 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN để chuyển qua Và sau đó chúng ta cũng click vào biểu tượng ARES mạch in Khi chuyển qua ARES sẽ yêu cầu khai báo cho LED ta khai báo như hình Tiếp theo ta click vào biểu tượng 2D Graphic box mạch Trang 26 để tạo diện tích board BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Right Click vào vùng vừa vẽ và chọn như hình Với mục đích khai báo. .. hình Trang 20 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK Cửa sổ Edit Strategies ta chọn như hình: Sau đó click OK> OK Ta được mạch in như hình Trang 21 SVTH:NGUYỄN ĐỨC HIỀN BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Tiếp theo ta tiến hành đổ đồng phủ mass Ta click vào biểu tượng ta vẽ hình chữ nhật bao quanh mạch in chọn lớp vẽ là Top Ta được lớp đồng cho lớp Top Trang 22 sau đó BÁO CÁO MÔN HỌC KỸ THUẬT... vào nguồn> xuất hiện hộp thoại và ta khai báo nguồn như sau: Hoặc bạn cũng có thể sửa lại là +24V thì nguồn chúng ta lúc này là +24VDC i>Ta tiến hành khai báo dao động thạch anh và AT89C52 là 12Hz Như sau: Doubleclick vào thạch anh làm như hình Ở phần Frequency khai báo là 12MHz Doubleclick vào AT89C52 và khai báo phần Clock Frequency là 12MHz Trang 11 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC... Sau đó chạy phỏng ta có hình sau: Trang 15 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN III- DỤ LCD Các linh kiện ta lấy như bài trên ở đây ta lấy thêm linh kiện LCD Tại keywords ta nhập LC4857 (các bạn có thể lấy LCD khác) hoặc bạn có thể tìm trong CATEGORY mục OPTOELECTRICS thư vi n (library) DISPLAY Sau đó ta tiến hành nối dây và có kết quả như sau: Trang 16 BÁO CÁO MÔN HỌC KỸ THUẬT... tương tự Trang 23 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK Để ẩn hiện màu ta click vào biểu tượng SVTH:NGUYỄN ĐỨC HIỀN và cho phép ẩn hiện các màu Trang 24 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN IV-VÍ DỤ LED ĐƠN: Cũng như trên ta lấy thêm linh kiện là Led đơn bằng cách: bạn nhập vào Keywords từ khóa LED, và ở đây mình chọn là LED-RED (hoặc bạn có thể tìm trong thư vi n Category, phần Optoelectronics)...BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Sau khi lấy xong ta có các linh kiện như sau trên màn hình h> Ta lấy nguồn và mass Ta click vào bên thanh Devices xuất hiện ta click vào POWER và đem ra ngoài vùng thiết kế tiếp theo ta click vào GROUND để lấy mass Ta tiến hành nối dây cho linh kiện ta được như sau: Trang 10 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN... Rx8 220 Npn Transistors Generic Npn Trang 13 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN a> lấy led ma trận: Bạn nhập vào Keywords từ khóa Matrix (hoặc bạn có thể tìm trong Category ở mục Optoelectronics) c>Lấy transistor : bạn nhập vào Keywords từ khóa NPN ở Subcatagory chọn Generic (hoặc bạn vào mục Transistor ở mục Category) Trang 14 BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN d>Lấy . Báo cáo môn học vi xử lý Khai thác phần mềm Proteus trong mô phỏng điều khiển BÁO CÁO MÔN HỌC VI XỬ LÝ – VI ĐIỀU KHIỂN. help của phần mềm proteus 7.1 Một số Ebooks của các bạn sinh vi n. BÁO CÁO MÔN HỌC KỸ THUẬT VXL-VĐK SVTH:NGUYỄN ĐỨC HIỀN Trang 2 PHẦN MỘT: GIỚI THIỆU VỀ PROTEUS Proteus là phần mềm của. TÀI: KHAI THÁC PHẦN MỀM PROTEUS TRONG MÔ PHỎNG VI ĐIỀU KHIỂN. GV: Ks. NGUYỄN BÁ THUẬN SVTH: NGUYỄN ĐỨC HIỀN KHOA: CƠ ĐIỆN. ĐỒNG NAI THÁNG 9 NĂM 2008. MỤC LỤC: PHẦN

Ngày đăng: 27/06/2014, 06:53

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan