Tài liệu Ambit BuildGates Synthesis User Guide ppt

206 965 0
Tài liệu Ambit BuildGates Synthesis User Guide ppt

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Ambit BuildGates Synthesis User Guide Product Version 4.0.8 May 2001 © 1997-2001 Cadence Design Systems, Inc All rights reserved Printed in the United States of America Cadence Design Systems, Inc., 555 River Oaks Parkway, San Jose, CA 95134, USA Trademarks: Trademarks and service marks of Cadence Design Systems, Inc (Cadence) contained in this document are attributed to Cadence with the appropriate symbol For queries regarding Cadence’s trademarks, contact the corporate legal department at the address shown above or call 1-800-862-4522 All other trademarks are the property of their respective holders Restricted Print Permission: This publication is protected by copyright and any unauthorized use of this publication may violate copyright, trademark, and other laws Except as specified in this permission statement, this publication may not be copied, reproduced, modified, published, uploaded, posted, transmitted, or distributed in any way, without prior written permission from Cadence This statement grants you permission to print one (1) hard copy of this publication subject to the following conditions: The publication may be used solely for personal, informational, and noncommercial purposes; The publication may not be modified in any way; Any copy of the publication or portion thereof must include all original copyright, trademark, and other proprietary notices and this permission statement; and Cadence reserves the right to revoke this authorization at any time, and any such use shall be discontinued immediately upon written notice from Cadence Disclaimer: Information in this publication is subject to change without notice and does not represent a commitment on the part of Cadence The information contained herein is the proprietary and confidential information of Cadence or its licensors, and is supplied subject to, and may be used only by Cadence’s customer in accordance with, a written agreement between Cadence and its customer Except as may be explicitly set forth in such agreement, Cadence does not make, and expressly disclaims, any representations or warranties as to the completeness, accuracy or usefulness of the information contained in this document Cadence does not warrant that use of such information will not infringe any third party rights, nor does Cadence assume any liability for damages or costs of any kind that may result from use of such information Restricted Rights: Use, duplication, or disclosure by the Government is subject to restrictions as set forth in FAR52.227-14 and DFAR252.227-7013 et seq or its successor Ambit BuildGates Synthesis User Guide Contents Preface About This Manual Other Information Sources Syntax Conventions 10 Text Command Syntax 10 About the Graphical User Interface 11 Using Menus 11 Using Forms 12 Introduction to Ambit BuildGates Synthesis 13 Separately Licensed Software Products Low Power Synthesis Physically Knowledgeable Synthesis Datapath Synthesis AC_Shell / DC_Shell Equivalencies 13 14 14 14 15 Getting Started 27 Invoking Ambit BuildGates Synthesis Getting Help for Ambit BuildGates Synthesis Exiting Ambit BuildGates Synthesis Files Used in Ambit BuildGates Synthesis Software Key Bindings and Mouse Operations 27 31 32 33 33 Using the GUI 37 Main Menu Functions File Menu Options Edit Menu Options May 2001 39 40 42 iii Product Version 4.0.8 Ambit BuildGates Synthesis User Guide View Menu Options Commands Menu Options Reports Menu Options Window Menu Options Help Menu Options The Main Tool Bar The Browsers The Module Browser The Variable Browser Work Area Tools HDL and Tcl Editors Constraints Tool The Schematic Viewer and Symbol Files Distributed Processing Update Mode The ac_shell Console The Status Bar 44 58 61 69 71 73 74 74 78 80 80 86 90 92 93 94 95 Flow Procedures 97 Typical Synthesis Flow 97 Read the Libraries 99 Read the Design Data 102 Build a Generic Netlist 104 Set Constraints 106 Optimize the Design 108 Generate Reports 111 Save Final Netlist 113 Viewing the Schematic Design 115 How to Use the Schematic Viewer 116 Keyboard Shortcuts 116 Mouse Operations 118 May 2001 iv Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Objects in the Schematic Database Accessing Context-Sensitive Pop-Up Menus Highlighting Path Between Pins Viewing Bus Properties The Schematic Tool Bar The Module Title Bar Searching for an Object Grouping Instances Dissolving Instances Creating a Unique Module Displaying Logic Cones Extracting Logic Cones Displaying Port Constraints Printing a Schematic 119 120 125 126 128 130 131 133 134 135 136 137 139 140 Setting Constraints 141 Units in Constraints 141 Timing Constraints 141 Timing Analysis 142 May 2001 v Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Optimizing Before Place-and-Route 143 Running do_optimize Command Top-Down Optimization Bottom-Up Optimization Deriving Constraints from Context Time Budgeting Preserving Module Contents Uniquifying Instances Collapsing Hierarchy Incremental Optimization Applying Timing Corrections 144 146 146 147 148 149 151 152 154 154 Optimizing with Logic Transforms 155 Introduction to Transforms Logic Optimization Steps Optimizing Generic Logic Mapping and Unmapping of Generic Logic Constraint-Driven Optimizing Summary Listing of Transform Commands 155 156 157 158 159 161 Optimizing After Place-and-Route 163 Timing Analysis Backannotating A Script Showing the Backannotation of a Design Reading SDF Data May 2001 vi 163 164 164 166 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Optimizing to Correct Late and Early Slack 166 10 Report Generation 169 Report Header Timing Reports Area Reports Sample Area Report Library Reports Hierarchy Reports Sample Hierarchy Report Design Rule Violations Reports Sample Design Rule Violations Report VHDL Library Reports Sample VHDL Library Report End Point Slack and Path Histogram Reports Fanin and Fanout Reports Sample Fanin Report Finite State Machine Reports Sample FSM Report Customizing Report Column Width 170 170 171 172 173 174 175 176 177 178 179 180 181 182 184 185 187 A Using Tcl within ac_shell and pks_shell 189 The Tcl Language Procedures Tcl Variables and Control Structures Variables Syntax Tcl Commands find Command get_names Command Abbreviating Commands Searching for Commands May 2001 vii 190 190 191 191 193 194 194 195 196 196 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Accessing Environment Variables 196 Returning Unix Command Values 196 Error Handling 197 B Quick Reference 199 May 2001 viii Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Preface This preface contains the following sections: s About This Manual on page s Other Information Sources on page s Syntax Conventions on page 10 s About the Graphical User Interface on page 11 About This Manual This manual describes the Ambit® BuildGates® synthesis software BuildGates synthesis can be run both in command line mode and in graphical user interface (GUI) mode See Getting Started on page 27 for an explanation on how to use both modes Other Information Sources For more information about Ambit BuildGates synthesis and other related products, you can consult the sources listed here s Command Reference for Ambit BuildGates Synthesis and Cadence PKS s Timing Analysis for Ambit BuildGates Synthesis and Cadence PKS s Test Synthesis for Ambit BuildGates Synthesis and Cadence PKS s HDL Modeling for Ambit BuildGates Synthesis s Distributed Processing of Ambit BuildGates Synthesis s Synthesis Place-and-Route (SP&R) Flow Guide s Constraint Translator for Ambit BuildGates Synthesis and Cadence PKS Depending on the product licenses your site has purchased, you could also have these documents s PKS User Guide May 2001 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Preface s Datapath Option of Ambit BuildGates Synthesis and Cadence PKS s Low Power Option of Ambit BuildGates Synthesis and Cadence PKS BuildGates synthesis is often used with other Cadence® tools during various design flows The following documents provide information about these tools and flows Availability of these documents depends on the product licenses your site has purchased s Cadence Timing Library Format Reference s Cadence Pearl Timing Analyzer User Guide s Cadence General Constraint Format Reference The following books are helpful references s IEEE 1364 Verilog HDL LRM s TCL Reference, Tcl and the Tk Toolkit , John K Ousterhout, Addison-Wesley Publishing Company Syntax Conventions This section provides the Text Command Syntax used in this document Text Command Syntax The list below describes the syntax conventions used for the Ambit BuildGates synthesis text interface commands Important Command names and arguments are case sensitive User-defined information is case sensitive for Verilog designs and, depending on the value specified for the global variable hdl_vhdl_case, may be case sensitive as well literal Nonitalic words indicate keywords that you must enter literally These keywords represent command or option names argument Words in italics indicate user-defined arguments or information for which you must substitute a name or a value | Vertical bars (OR-bars) separate possible choices for a single argument May 2001 10 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Using Tcl within ac_shell and pks_shell There are no reserved shell variables in ac_shell Tcl variables have no restrictions The set_global and get_global commands in ac_shell handle all of the global settings that affect synthesis operations These commands are described in the Command Reference for Ambit BuildGates Synthesis and Cadence PKS Character Strings A variable has no type (everything is a character string), and does not need to be declared The first occurrence is equivalent to the declaration ac_shell> set myvar 100 ac_shell> puts $myvar 100 ac_shell> A variable has a global scope if the first occurrence of the variable is in the global context (not inside a procedure) If the first occurrence of a variable is inside a procedure, then it has scope of that procedure and will not conflict with another variable having the same name in a different scope The commands global, upvar, and uplevel allow the use of variables across scopes Using the $ Prefix Use the $ prefix to get the value of a variable In Tcl, placing the $ in front of a variable causes the value of a variable to be substituted for that variable This is shown in the following example: set x if { $x == } { # … } else { # … } Some commands use the variable value, as in the If statement above Other commands require the name of a variable as an argument (usually so they can modify it) as follows: ac_shell> puts $x ac_shell> incr x May 2001 192 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Using Tcl within ac_shell and pks_shell Syntax Tcl terminates all commands (built-in or user-defined) when it reaches a newline character or a semicolon in a line The trailing left-brace in the if-else statement above is a mandated Tcl style Using the open brace before the new line character indicates that the text should be read as one argument to the if command Variables may also be used to hold lists of items, which can be defined recursively ac_shell> set y {a b {c d}} a b {c d} ac_shell> foreach val $y {puts “$val”} a b c d Use double quotes to group items together with (one pass of) substitution, and curly braces to group items together without any substitution ac_shell> ac_shell> ac_shell> ac_shell> $val set val puts “$val” puts $val puts {$val} Note: In Tcl, the square brackets ([ ]) contain commands which are evaluated and return values In ac_shell, square brackets indicate bus indices Tcl supports the standard C language arithmetic operators, and also performs comparisons on numbers and strings, according to C language definitions For example, use == to perform a string comparison and use expr (Tcl command) to evaluate an expression: ac_shell> set_port_capacitance [expr {1 + 0.4}] {out[2]} Note: Because Tcl treats square brackets ([ ]) differently, using square brackets when referring to a single bit of a bussed net requires enclosing the expression in braces ({ }) If braces are not used, the literal value of the calculated expression is given This is similar to the backquote in C-shell (see examples below) The following results in an error: ac_shell> set_port_capacitance [expr {1 + 0.4}] out[2] May 2001 193 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Using Tcl within ac_shell and pks_shell invalid command name “2” The following examples show control structures: while {$x == 1} { # ‘break’ and ‘continue’ are supported in ‘while” and ‘for’ loops } for {set i 0} {$i < 10} {incr i} { puts $i } switch $x { {puts “got a zero”} {puts “got a one”} default {puts “default case”} # no break statements are needed in Tcl switch } Tcl supports associative array variables using the array command Complex Tcl code requires associative array variables Tcl Commands find Command The find command identifies pieces of a design by name or by partial name regular expression, especially when setting constraints The Tcl language supports two methods of pattern matching: glob and regexp The find command in ac_shell uses the glob style of matching by default, but supports the regular expression style if necessary using the -regexp option The glob style is used in the C-shell for matching file names and supports *, ?, and [] metacharacters The pattern matches any names that match completely (including wildcard characters) ac_shell> find -port -input clk* Hierarchical names with wildcards are supported, such as: May 2001 194 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Using Tcl within ac_shell and pks_shell ac_shell> find -port -input i*/*/clk_? The optional regexp style is the same as that used by sed, grep, and lex It is more powerful, but slightly different than the glob style in having a richer set of supported metacharacters and in that a match of a substring is sufficient to cause a pattern match Commonly used regexp meta-characters are (a period) which matches any single character, ^ and $ which match the start and end, and * which matches or more of the preceding character (or atom in the general case where an atom can be defined with parentheses) Because matching any substring is sufficient to cause a match with the name, this finds all the input ports in the current module: ac_shell> find -regexp -port -input Beware of issuing a command like this with the -regexp option to identify a port named se: ac_shell> set_false_path -from \ [find -regexp -port -input se] Using this command will match all input ports containing the string se Instead, use the start and end meta-characters, enclosed in curly braces to prevent the Tcl engine from interpreting them itself: ac_shell> set_false_path -from \ [find -regexp -port -input { ^se$ } ] get_names Command The get_names command is similar to the find command in that it returns a name from an object_id The object_id is an ac_shell (not Tcl language) convention of assigning a unique integer to every design object, much like a pointer or handle The find command goes from name to object_id, and get_names goes back: ac_shell> find -port out 27462 ac_shell> get_names 27462 out ac_shell> get_names [find -port out] out May 2001 195 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Using Tcl within ac_shell and pks_shell Most ac_shell commands that take a list of identifiers accept either names or object ids, since they are unambiguous (names cannot start with a number) Never enter numerical object ids manually Abbreviating Commands Tcl allows command name abbreviations, but the abbreviation must be unique The ac_shell command argument handling also follows this rule, which is helpful for interactive use However, when writing project scripts it is a good idea to use the full command and argument names to avoid an ambiguity with new commands in a later release of the product or when additional user procedures have been defined Searching for Commands When executing a command, Tcl searches a list of built-in commands, ac_shell commands, and user-defined procedures Failing a match, it invokes a system call using the command, if it finds it in your path This is very useful but can be confusing if you did not expect a system call to take place, especially if you are not aware of the command in your Unix path Also, note that Unix shell features such as expanding ‘~’ to your home directory, are not available using this mechanism Accessing Environment Variables Access environment variables using the global env Tcl array: ac_shell> puts “Home directory is $env(HOME)” Returning Unix Command Values Obtain return values of Unix commands using the exec command: set mydir [exec pwd] -orset mydir [pwd] cd $mydir May 2001 196 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Using Tcl within ac_shell and pks_shell Error Handling Any Tcl procedure (including your own) may call the Tcl error command Most ac_shell commands will call error when invoked with illegal syntax, or for other fatal errors such as file-not-found When sourcing a script from either the command line of ac_shell or the source command, interpretation will stop if any command reports an error If you anticipate that invoking a command may return an error, then you should use one of the following two methods: s Invoke ac_shell using the -continue flag When an error is encountered ac_shell returns to the ac_shell prompt (no further commands are processed) The flag is used to prevent ac_shell from exiting upon detection of an error when invoking the ac_shell command through a script The -continue flag only applies to the script sourced upon starting ac_shell using the -f option For example, ac_shell -continue -f run.tcl The flag does not have any effect on subsequent scripts sourced from the ac_shell prompt Note: The command line option -continueOnScriptError is the same as the -continue flag and is still supported s Use the Tcl catch command This is the preferred method because it allows more control over error handling For example, if you refer to a file call_run.v that may not exist, you can the following ac_shell> set err [catch {read_verilog call_run.v } msg] The variable err is set to (success) or (failure), and the optional variable msg is set to the error message that is produced by read_verilog, if one exists May 2001 197 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Using Tcl within ac_shell and pks_shell May 2001 198 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide B Quick Reference This appendix provides two methods of equating ac_shell commands to GUI menu commands Table B-1 through Table B-12 are ordered according to the GUI menu in which the commands are presented Table B-13 is an alphabetical list of ac_shell commands and the corresponding GUI menu command Table B-1 Main Menu – File and ac_shell Equivalents GUI Option/ Command ac_shell Equivalent New do_remove_design -all Open read_adb read_alf read_verilog read_vhdl read_edif read_ctlf read_pdef (PKS only) source tcl_filename Save write_adb write_vhdl write_verilog write_pdef (PKS only) write_edif write_gcf_assertions Save Preferences none Save Console Log none May 2001 199 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Quick Reference Table B-1 Main Menu – File and ac_shell Equivalents, continued GUI Option/ Command ac_shell Equivalent Printer Setup none Exit none Table B-2 Main Menu – Edit and ac_shell Equivalents GUI Option/ Command ac_shell Equivalent Cut none Copy none Paste none Clear clear (Unix command) User Command none Table B-3 Main Menu – View and ac_shell Equivalents GUI Option/ Command ac_shell Equivalent Toolbar Hide none Refresh View none General Preferences none (including nested options) Schematic Preferences none (including nested options) Console Message Monitor none May 2001 200 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Quick Reference Table B-4 Main Menu – Commands and ac_shell Equivalents GUI Option/ Command ac_shell Equivalent Set Operating Parameters set_operating_parameters Set Target Technology set_global -target_technology Load DCL Rules load_dcl_rule Unload DCL Rules unload_dcl_rule Check Netlist check_netlist Check Timing check_timing Check Test Rules check_dft_rules Build Generic do_build_generic Optimize do_optimize Table B-5 Main Menu – Reports and ac_shell Equivalents GUI Option/ Command ac_shell Equivalent Timing report_timing Area report_area Library report_library Hierarchy report_hierarchy Design Rules report_design_rule_violations VHDL Library report_vhdl_library End Point Slack Histogram none Path Histogram none May 2001 201 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Quick Reference The Main Menu – Window commands are accessed through icons on the editor and viewer tool bars The table below lists only the GUI options/commands that have ac_shell equivalents Table B-6 Main Menu – Window and ac_shell Equivalents GUI Option/ Command Save and Parse Uniquify Schematic Instances ac_shell Equivalent write_verilog and read_verilog write_vhdl and read_vhdl do_uniquely_instantiate Table B-7 Main Menu – Help and ac_shell Equivalents GUI Option/ Command ac_shell Equivalent About Ambit BuildGates ac_shell displays a startup banner when opening Schematic Symbol Utilities none Documentation none The table below lists only the GUI module browser options/commands that have ac_shell equivalents Table B-8 Module Browser and ac_shell Equivalents GUI Option/ Command Set Current Module May 2001 ac_shell Equivalent set_current_module 202 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Quick Reference Table B-8 Module Browser and ac_shell Equivalents, continued GUI Option/ Command Set Top Timing Module ac_shell Equivalent set_top_timing_module Set Don’t Modify set_dont_modify Reset Don’t Modify reset_dont_modify The table below lists the only GUI variable browser command that has an ac_shell equivalent Table B-9 Variable Browser and ac_shell Equivalent GUI Command ac_shell Equivalent set_global set_global The table below lists the only HDL editor (within the work area tools area) command that has an ac_shell equivalent Table B-10 HDL Editor and ac_shell Equivalents GUI Option/ Command Save and Parse ac_shell Equivalent write_verilog and read_verilog write_vhdl and read_vhdl The table below lists the only Tcl editor (within the work area tools area) commands that have an ac_shell equivalent May 2001 203 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Quick Reference Table B-11 Tcl Editor and ac_shell Equivalents GUI Option/ Command ac_shell Equivalent Save and Parse save the Tcl file and source tcl-filename The table below lists the constraints tool (within the work area tools area) options/commands and the ac_shell equivalents Table B-12 Constraints Tool and ac_shell Equivalents GUI Option/Command ac_shell Equivalent Ideal Clock Pop-Up Menu: Refresh Clock Table none New Ideal Clock set_clock Port Clock Pop-Up Menu: New Port Clock set_clock_root set_clock_insertion_delay set_clock_required_time Remove Clock Assertions remove_assertion Port Constraints Pop-Up Menu: Show Default Clock none Toggle Polarity set_clock_root set_clock_insertion_delay Create Positive Edge Clock set_clock_root set_clock_insertion_delay Create Negative Edge Clock set_clock_root set_clock_insertion_delay Report Port Assertion none Remove Port Assertion remove_assertion May 2001 204 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Quick Reference Table B-13 ac_shell Commands and GUI Menu Equivalents ac_shell Command GUI Menu Equivalent check_dft_rules Main Menu – Commands –Check Test Rules check_netlist Main Menu – Commands – Check Netlist check_timing Main Menu – Commands – Check Timing clear (Unix command) Main Menu – Edit – Clear do_build_generic Main Menu – Commands – Build Generic do_optimize Main Menu – Commands – Optimize do_remove_design -all Main Menu – File – Open do_uniquely_instantiate Schematic Editor – Uniquify Instances load_dcl_rule Main Menu – Commands – Load DCL Rules read_adb Main Menu – File – Open read_alf Main Menu – File – Open read_ctlf Main Menu – File – Open read_edif Main Menu – File – Open read_pdef (PKS only) Main Menu – File – Open read_verilog Main Menu – File – Open HDL Editor – Open HDL File read_vhdl Main Menu – File – Open HDL Editor – Open HDL File remove_assertion Constraints Tool – Remove Port Assertions report_area Main Menu – Reports – Area Report report_design_rule_ violations Main Menu – Reports – Design Rules Report report_hierarchy Main Menu – Reports – Hierarchy Report report_library Main Menu – Reports – Library Report May 2001 205 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Quick Reference Table B-13 ac_shell Commands and GUI Menu Equivalents, continued ac_shell Command GUI Menu Equivalent report_timing Main Menu – Reports – Timing Report report_vhdl_library Main Menu – Reports – VHDL Library Report reset_dont_modify Module Browser – Reset Don’t Modify set_clock Constraints Tool – New Ideal Clock Constraints Tool – New Port Clock Constraints Tool – Toggle Polarity set_clock_insertion_delay Constraints Tool – Create Positive Edge Clock Constraints Tool – Create Negative Edge Clock set_clock_root set_clock_required_time Constraints Tool – New Port Clock Constraints Tool – Toggle Polarity Constraints Tool – Create Positive Edge Clock Constraints Tool – Create Negative Edge Clock set_current_module Module Browser – Set Current Module set_dont_modify Module Browser – Set Don’t Modify set_global Varible Browser – set_global set_global target_ technology Main Menu – Commands – Set Target Technology set_operating_parameters Main Menu – Commands – Set Operating Parameters set_top_timing_module Module Browser – Set Top Timing Module source filename Main Menu – File – Open unload_dcl_rule Main Menu – Commands – Unload DCL Rules write_adb Main Menu – File – Save write_edif Main Menu – File – Save write_gcf_assertions Main Menu – File – Save write_pdef (PKS only) Main Menu – File – Save write_verilog Main Menu – File – Save HDL Editor – Save HDL File write_vhdl Main Menu – File – Save HDL Editor – Save HDL File May 2001 206 Product Version 4.0.8 ... 4.0.8 Ambit BuildGates Synthesis User Guide Introduction to Ambit BuildGates Synthesis May 2001 26 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Getting Started Invoking Ambit BuildGates. .. s PKS User Guide May 2001 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Preface s Datapath Option of Ambit BuildGates Synthesis and Cadence PKS s Low Power Option of Ambit BuildGates. .. 12 Product Version 4.0.8 Ambit BuildGates Synthesis User Guide Introduction to Ambit BuildGates Synthesis This chapter provides a description of the Ambit? ? BuildGates? ? synthesis software, including

Ngày đăng: 25/01/2014, 12:20

Từ khóa liên quan

Mục lục

  • Contents

  • Preface

    • About This Manual

    • Other Information Sources

    • Syntax Conventions

      • Text Command Syntax

      • About the Graphical User Interface

        • Using Menus

        • Using Forms

        • Introduction to Ambit BuildGates Synthesis

          • Separately Licensed Software Products

            • Low Power Synthesis

            • Physically Knowledgeable Synthesis

            • Datapath Synthesis

            • AC_Shell / DC_Shell Equivalencies

            • Getting Started

              • Invoking Ambit BuildGates Synthesis

              • Getting Help for Ambit BuildGates Synthesis

              • Exiting Ambit BuildGates Synthesis

              • Files Used in Ambit BuildGates Synthesis Software

              • Key Bindings and Mouse Operations

              • Using the GUI

                • Main Menu Functions

                  • File Menu Options

                  • Edit Menu Options

                  • View Menu Options

                  • Commands Menu Options

                  • Reports Menu Options

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan