0

tiếp vi điều khiển với nhiều led 7 đoạn

Báo cáo đồ an tốt nghiệp chuyên ngàng điện  điện tử

Báo cáo đồ an tốt nghiệp chuyên ngàng điện điện tử

Điện - Điện tử - Viễn thông

... vào b để điều khiển led b Tương tự với chân led lại 2.2.2.Kết nối với Vi điều khiển Ngõ nhận tín hiệu điều khiển led đoạn có đường, dùng Port Vi điều khiển để điều khiển led đoạn Như led đoạn nhận ... Port Vi điều khiển với led đoạn tối đa kết nối led đoạn Mặt khác kết nối hạn chế khả thực công vi c khác Vi điều khiển Cho nên cần phải kết nối, điều khiển nhiều led đoạn với số lượng chân điều khiển ... bit từ Vi điều khiển để điều khiển hoạt động sáng tắt led led đơn nó, liệu xuất điều khiển led đoạn thường gọi "mã hiển thị led đoạn" Có hai kiểu mã hiển thị led đoạn: mã dành cho led đoạn có...
  • 82
  • 552
  • 0
Sơ đồ nguyên lý Mạch Dao Động

Sơ đồ nguyên lý Mạch Dao Động

Điện - Điện tử

... chiều Tụ C3 biến trở VR hồi tiếp nối tiếp điện áp (hồi tiếp âm xoay chiều) mạch sửa dạng xung B)Khối hồi tiếp cầu: Mạch dao động qua mạch lọc tần số mắc theo kiểu cầu vi n gồm tụ C1, C2 điện trở ... Uce transistor : T1 : 7. 5 ÷ 8.3V T2 : ÷ 5V T3 : ÷ 5V Ura : 2.2 ÷ 2.6V II) Sơ đồ lắp ráp : 9V R1 R5 R6* C4 R7 C6 C3 R9 C8 C7 R2 U C1 R3 C2 R4 VR R8* C2 III) Nguyên lý hoạt ... có công thức tính tần số mạch cầu vi n RC f = 1/2RC Với R2 = R3 = R C1 = C2 = C C) Khối khuyếch đại đệm: C6 tụ ghép tầng, tụ C8 làm nhiệm vụ chống nhiễu, tụ C7 lấy tín hiệu ra, đèn T3 mắc theo...
  • 5
  • 2,941
  • 18
Tài liệu Đồ án

Tài liệu Đồ án " 1. THIẾT KẾ SƠ ĐỒ NGUYÊN LÝ MẠCH ĐỘNG LỰC VÀ MẠCH ĐIỀU KHIỂN HỆ THỐNG MÁY KHOAN DÙNG PHƯƠNG PHÁP MA TRẬN TRẠNG THÁI ĐỂ TỔNG HỢP MẠCH ĐIỀU KHIỂN" ppt

Báo cáo khoa học

... hệ điều khiển máy khoan bước tổng hợp mạch điều khiển Lập graph chuyển trạng thái Gọi a tín hiệu điều khiển A+, A— +) a = ứng với tín hiệu a0 +) a = ứng với tín hiệu a1 Gọi b tín hiệu điều khiển ... suất làm vi c phụ thuộc nhiều vào công nghệ điều khiển Quá trình làm vi c thực theo trật tự logic, theo trình tự thời gian xác định để điều khiển công nghệ ta phải tổng hợp hàm điều khiển cho ... b = ứng với tín hiệu b0 +) b = ứng với tín hiệu b1 Gọi c tín hiệu điều khiển C+, C— +) c = ứng với tín hiệu c0 +) c = ứng với tín hiệu c1 Gọi d tín hiệu điều khiển D+, D— +) d=0 ứng với tín hiệu...
  • 24
  • 3,781
  • 3
sơ đồ nguyên lý mạch tạo xung

sơ đồ nguyên lý mạch tạo xung

Điện - Điện tử

... C3 so với đât , đ-ợc điện áp U=2V Nh- mạch thỏa mãn điều kiện mạch đa hài tự dao động Chỉnh chiều T3: đ-ợc điện áp UCE =0.25V Nối đầu âm tụ C3 vào mạch Đo xoay chiều đầu âm tụ C4 so với đất ... biên độ xung vuông đạt 5V :RE = 470 [Bỏo cỏo thc tp:Mch to xung] Page Với giá trị điện trở ta thu đ-ợc xung vuông đẹp hình Ô_xi_lô Xung vuông ,biên độ 4V ứng với giá trị điện trở: RC1 = k RC2 ... RB3 lên Khi RB3 = 670 k xung đẹp biên độ đạt 4V Nh- xung c-a đẹp ,biên độ 4V ứng với giá trị điện trở: RC1 = k RC2 =6.5 k RC3 = 1k RE = 100 RB1 = 100k RB2 = 100k RB3 = 670 R = 100 k Xung vuông...
  • 6
  • 14,077
  • 69
Sơ đồ nguyên lý mạch đèn chạy sáng đuổi theo 2 chiều dùng IC potx

Sơ đồ nguyên lý mạch đèn chạy sáng đuổi theo 2 chiều dùng IC potx

Kĩ thuật Viễn thông

... đổi trạng thái ngõ vào điều khiển A 74 139 xung CK từ ngõ LM555 (được đưa vào chân E 74 139) chuyển sang ngõ Y1 từ đưa vào chân DOWN IC đếm 74 193 làm cho mạch đếm xuống, dãy LED chạy ngược lại Khi ... July 2010 | IP Logged chào bạn Tham gia từ: 02 July 2010 VietNam Bài vi t: thành vi n ko biết nhìu điện làm mạch đồng hồ số chơi, dùng 74 47 7490 dùng 555 tạo xung chạy loạn lên xin hỏi mạch 4060 ... nhị phân lên xuống 74 193 IC Decoder 74 138 đưa dãy LED để có kiểu sáng đuổi Để tự động chọn đếm lên hay đếm xuống (dãy LED sáng từ trài qua phải hay từ phải qua trái), dùng Demux 74 139 (gồm Decoder...
  • 14
  • 5,988
  • 44
Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p6 pot

Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p6 pot

Cao đẳng - Đại học

... 0 ,7 Chu kỳ làm vi c nhỏ ngõ vào: δmin = δmax/ (1- δmax ) K + δmax = 0 ,7 / (1- 0 ,7 ) 2,62 + 0 ,7 = 0, 47 Dòng đỉnh cần tính: Ipp = 200 / 140 0 ,7 = 4,08A Vậy chu kỳ làm vi c có giá trò khoảng 0, 47 ... Tính cuộn cảm : L1 = [(VImin-V0).ton1]/ IPK1 L1 = [(140-115)].41.10-6/6,3 L1 = 162 ,7 µH L2 = [( VImax- V0).ton2/IPK2 = [(338-115). 17. 10-6/4,46 =71 7,8 µH L2 > L1 nên chọn L2 =71 7,8 µH L2 = Ф.m / IPK2 ... lọc ngõ với độ gợn sóng bé là: V = 100 mV Chế độ làm vi c chu kỳ xung: d = ( V0 + VD) / (VI + VD ) Chế độ làm vi c chu kỳ xung với điện áp vào thấp nhất: d1 = (115 + 0 ,7 ) / ( 140 + 0 ,7 ) = 0,82...
  • 11
  • 1,144
  • 5
Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p5 pdf

Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p5 pdf

Cao đẳng - Đại học

... IC 4518B x IC 4511B x IC 4 072 B x IC 4 071 d./Mạch hiển thò: R: Điện trở hạn dòng cho tất Led đoạn: 330 RC: Điện trở kéo nguồn cho tất Led đoạn = 390 Transistor thúc Led: A564 (PNP) e./ Mạch nguồn: ... 100K R7 = 2,2K C1 = 0,01F C2 = 0,1F C3 = C4 = 0,1F Diode Zener 5,5V IC 74 1 x IC 40 17 x b./ Linh kiện mạch dao động: R1 = R2 = 1K C1 = 0,1 XTAL = 32 ,76 8KHZ IC 4518B IC 4040B IC 40 17 IC 4081X ... = R2 = 120 IC ổn áp dương LM3 17, LA 78 05 IC ổn áp âm LM 3 37 2./ Cân chỉnh sai số thiết bò: a./ Cân chỉnh: Sau lắp ráp linh kiện vào mạch Modul, ghép Modul lại với để mô hình hoàn tất máy đo...
  • 10
  • 939
  • 4
Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p4 pdf

Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p4 pdf

Cao đẳng - Đại học

... 3 17 LM 3 37 để tạo nguồn ổn áp + 12V , -12 V Thông số kỹ thuật IC LM3 17: Dòng điện đònh mức : I0 = 1.5A Điện áp ngõ V = Vin - 1.2 Điện áp ngõ vào : Vin = 1.2  37V Thông số kỹ thuật IC LM 3 37 ... điện chạy qua RC R1 qua LED làm cho đèn phát sáng, lúc điện áp rơi LED 0.7V Điện trở RC = VCC  VC I LED 12  = 400  15mA  0 .7 R1 = = 330  15mA RC = Để transistor làm vi c vùng bảo hoà điện ... số BCD để hiển thò LED đoạn cần phải mạch giải mã từ số BCD sang LED đoạn Trong mạch sử dụng IC 4511B làm mạch giải mã, IC 4511B Ic thuộc họ CMOS có ngõ vào, ba ngõ vào điều khiển vàø ngõ ratừ...
  • 11
  • 913
  • 1
Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p3 ppt

Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p3 ppt

Cao đẳng - Đại học

... BCD hiển thò LED đoạn cần dùng IC giải mã BCD sang LED đoạn Khi số lượng LED hiển thò nhiều người ta dùng kỹ thuật báo đa hợp để giải mã g Khối chỉnh dạng xung: Mạch đếm làm vi c với tín hiệu ... giao tiếp mạch Logic với Triac hay Thyristor để điều khiển hoạt động mạch điện xoay chiều Hình V.3b 4./ TTL tác động mức thấp với tải hoạt động mức cao, dòng thấp: Tải điển hình trường hợp Led ... dao động có tần số xung 1HZ b Khối chia: Để tạo xung điều khiển có tần số 1HZ từ dao động chuẩn c Khối khống chế giây: Tạo đồng cho mạch làm vi c d Khối chia tần: Nhằm mở rộng giới hạn tần số cần...
  • 11
  • 843
  • 2
Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p2 doc

Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p2 doc

Cao đẳng - Đại học

... Hình IV.2a.4 ứng dụng để báo 74 45, ngõ vào 74 45 chòu 5V nhận dòng lớn (đến 80mA) nên dùng để thúc trực tiếp b./ Đèn Led đoạn: Một số báo thông dụng đèn Led đoạn Đèn gồm đoạn mang tên a, b, c, d, ... bảng thật sau (Hình IV.2.b5) Trong với ngõ dạng sóng ngõ tắt, nghóa 74 47 thúc đèn Led đoạn đoạn a, b, c, d, e, f, g đèn sáng hay tắt tùy theo ngõ tương ứng 74 47 hay Kết mã số nhò phân bit vào có ... Hình Máy Đo Tần Số  Khảo sát IC 74 47A Hình IV.2b.4 74 47A có ngõ cực thu để hở đủ dòng để thúc báo trực tiếp Ngõ tác động mức thấp nên thích hợp để thúc Led đoạn anod chung hay đèn chòu dòng...
  • 11
  • 836
  • 2
Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p1 pdf

Giáo trình phân tích phạm vi ứng dụng của mạch chia tần số theo nguyên lý mạch dao động đa hài dùng cổng logic p1 pdf

Cao đẳng - Đại học

... (IC) thực tế có nhiều mạch tích hợp số sản xuất cho nhiều chức khác – Thiết kế phân tích mạch đơn giản – Thuận tiện cho vi c điều khiển tự động lưu trữ xử lý liệu (data), kết hợp với loại máy tính ... xử lý tín hiệu tương tự cần phải có chuyển đổi từ tương tự sang số 4/ Phạm vi ứng dụng vi mạch số: Vi mạch số ứng dụng nhiều lónh vực quân sự, dụng cụ y tế, loại máy móc sản xuất Trường Đại Học ... thái QA = 0, QB = 0, QC = 1,…Xung đếm thứ 17 QA = QB = QC = QD =   - Ưu điểm: Ít bò nhiễu so với mạch đếm không đồng Nhược điểm: Đối với mạch đếm nhiều bit mạch liên kết logic cho đầu vào trở...
  • 11
  • 863
  • 2
Giáo án Công Nghệ lớp 8: Thực hành vẽ sơ đồ nguyên lý mạch điện pot

Giáo án Công Nghệ lớp 8: Thực hành vẽ sơ đồ nguyên lý mạch điện pot

Điện - Điện tử

... đặt cao + Mạch nhánh: Rẽ từ mạch đến thiết bị tiêu thụ điện phòng mắc song song với GV: Hướng dẫn học sinh làm vi c 2.Vẽ sơ đồ nguyên lý theo nhóm vẽ sơ đồ mạch điện hình mạch điện 56.2 SGK - ... mục tiêu 3/ I Chuẩn bị thực hành GV: Nêu mục tiêu thực hành GV: Chia nhóm thực hành, nhóm báo cáo vi c chuẩn bị nhóm GV: Nêu mcụ tiêu cần đạt - SGK thực hành 35/ II Nội dung trình tự HĐ2.Tìm hiểu ... hay chiều - Xác đinh điểm nối điểm chéo dây dẫn - Kiểm tra lại sơ đồ nguyên lý mạch 2/ điện so với mạch điện thực tế 4.Củng cố GV: Nhận xét đánh giá thực hành chuẩn bị dụng cụ vật liệu, vệ sinh...
  • 5
  • 3,014
  • 6
Đồ Án Mạch Nháy Theo Nhạc (có sơ đồ nguyên lý và mạch thực tế dưới file)

Đồ Án Mạch Nháy Theo Nhạc (có sơ đồ nguyên lý và mạch thực tế dưới file)

Điện - Điện tử

... người cần thiết nên tương lai tới đề tài tiếp tục phát triển hoàn thiện nữa, tích hợp thêm hệ thống IC đại sử dụng nhiều IC điều khiển LED hơn, thêm nhiều LED thay sử dụng để tăng hiệu ứng, ứng ... • Chân LED No.1 Hiển thị LED thứ Mạch LED Nháy Theo Nhạc SVTH: Nguyễn Trần Thiện Luân ĐỒ ÁN Trang 13/16 • Chân V- chân nối với điện áp âm • Chân V+ chân nối với điện áp dương • Chân Divider (Low ... Dòng LED qua IC điều chỉnh lập trình được, điều tất nhiên phải có mặt điện trở hạn dòng Những hệ thống hiển thị sử dụng từ vi c cung cấp điện áp từ V đến 25 V với dòng điện nhỏ từ mA đến 30 mA điều...
  • 28
  • 9,834
  • 33
đồ án cấu tạo và nguyên lý làm việc động cơ dây quấn quạt bàn

đồ án cấu tạo và nguyên lý làm việc động cơ dây quấn quạt bàn

Điện - Điện tử - Viễn thông

... cố VI KẾT LUẬN Hiện công nghiệp nước ta phát triển nhà máy xí nghiệp, dây chuyền thiết bị máy móc đa phần dùng mạch điện điều khiển cách tự động hoá thông qua vi đồ mạch điện điều khiển Mạch điều ... tơ k có điện đóng tiếp điểm K thường hở bên mạch động lực đóng tiếp điểm k (3-5) mạch điều khiển đồng thời công tắc tơ rơle thời gian RTH có điện Sau thời gian chỉnh Trang 17  Báo cáo thực tập ... kép tiếp điểm tiếp điểm phụ Phần công tắc tơ cuộn điện tử K hệ thống tiếp điểm Khi K điện lò xo số kéo lại làm mở nắp phần ứng với lõi thép, K có điện lò xo bị dãn chuyển động xuống cặp tiếp...
  • 20
  • 4,860
  • 8
Giáo trình hướng dẫn tìm hiểu nguyên lý hoạt động của sơ đồ nguyên lý tuần hoàn một cấp phần 2 potx

Giáo trình hướng dẫn tìm hiểu nguyên lý hoạt động của sơ đồ nguyên lý tuần hoàn một cấp phần 2 potx

Cao đẳng - Đại học

... Giạ trë 0 ,73 5,0 0 ,78 8,0 0 ,73 9,0 0 ,73 11,0 0,69 12,0 0 ,76 6,0 0 ,77 9,0 0 ,72 10,0 0 ,73 12,0 0, 67 13,0 0 ,75 7, 0 0 ,77 10,0 0 ,72 11,0 0 ,72 13,0 0,66 14,0 0 ,74 8,0 0 ,77 11,0 0 ,72 12,0 0 ,71 14,0 0,66 ... 0 ,76 15,0 0,69 16,0 0 ,73 18,0 0,81 13,0 0,82 15,0 0 ,76 16,0 0 ,70 17, 0 0,64 18,0 0,82 14,0 0 ,75 15,0 0 ,77 17, 0 0 ,75 18,5 0,68 19,5 0 ,74 9,0 0 ,76 12,0 0 ,71 13,0 0,65 14,0 0,65 16,0 0 ,73 10,0 0 ,76 ... 0,86 16,0 0 ,79 17, 0 0,81 18,5 0,90 14,0 0,86 15,5 0,82 16,5 0,80 18,0 0 ,73 19,0 0,90 15,0 0,82 16,0 0,82 17, 5 0,80 19,0 0 ,74 20,0 70 0,82 11,0 0,82 13,0 0 ,77 14,0 0 ,71 15,0 0 ,73 17, 0 0,82 12,0...
  • 10
  • 551
  • 0

Xem thêm