0

iii 2 thiết kế cơ sở dữ liệu logic

Chương 2 Thiết kế Cơ sở dữ liệu database design

Chương 2 Thiết kế sở dữ liệu database design

Cao đẳng - Đại học

... Đưa định thiết kế tính tổng quát  Xem xét ngân sách công nghệ   Logical design (thiết kế mức luận lý) — thiết kế yêu cầu liệu thiết kế giải pháp nghiệp vụ  Phát triển mô hình liệu (data ... nghiệp vụ cho việc lưu trữ liệu Dựa yêu cầu nghiệp vụ, thiết kế mô hình CSDL Xác định yêu cầu để thiết kế ứng dụng thực thi CSDL    Là bước trình mô hình hoá liệu thiết kế CSDL Các bước phân tích ... quan hệ bảng Fairco??  14  Chuẩn hoá thường dùng thiết kế bảng CSDL quan hệ để tối ưu liệu  Hạn chế việc thừa liệu, tránh việc không thống liệu bảng cột trùng lại chứa giá trị sai lệch...
  • 34
  • 615
  • 0
Bài 2 - Thiết kế cơ sở dữ liệu ppsx

Bài 2 - Thiết kế sở dữ liệu ppsx

Cơ sở dữ liệu

... ©NIIT Thiết kế sở liệu Bài / Slide of 26 Hình minh họa quan hệ cha hai bảng ©NIIT Thiết kế sở liệu Bài / Slide of 26 Hình minh họa quan hệ cha hai bảng ©NIIT Thiết kế sở liệu Bài / Slide of 26 Một ... ©NIIT Thiết kế sở liệu Bài / Slide 19 of 26 Các điều cần ý thiết kế sở liệu  Không đưa thêm thuộc tính không cần thiết  Nếu thực thể thuộc tính ta gom chúng lại ©NIIT Thiết kế sở liệu ... kế sở liệu Bài / Slide 20 of 26 ©NIIT Thiết kế sở liệu Bài / Slide 21 of 26 Các điều cần ý thiết kế sở liệu (tiếp theo)  Một số thuộc tính phân tích chi tiết để làm rõ sở liệu Đối với thuộc tính...
  • 24
  • 533
  • 0
043_Hỗ trợ chuẩn hóa trong phân tích thiết kế cơ sở dữ liệu logic

043_Hỗ trợ chuẩn hóa trong phân tích thiết kế sở dữ liệu logic

Điện - Điện tử - Viễn thông

... phân tích thiết kế hệ thống thông tin Tài liệu tham khảo [1] Lê Văn Phùng, “Phân tích thiết kế hệ thống thông tin”, NXB Lao động – Xã hội [2] Nguyến Văn Vỵ, “Giáo trình phân tích thiết kế hệ thống ... Lược đồ quan hệ s = {R1, R2,…,Rn} Ri = {a1, a2,…, an} với thuộc tính mã hóa dạng ký tự F: Tập phụ thuộc hàm Hệ thống cho kết quan hệ đạt chuẩn chuẩn 1 ,2, 3 với kết khả quan Kết luận Trong khóa luận ... chúng - QH2: Các thuộc tính lại khóa 3) Tách thành chuẩn Nếu quan hệ đạt chuẩn 2, chưa đạt chuẩn ta tiến hành phân rã thành quan hệ: - QH1: Các thuộc tính bắc cầu thuộc tính cầu - QH2: Các thuộc...
  • 2
  • 801
  • 6
Thiết kế cơ sở dữ liệu logic

Thiết kế sở dữ liệu logic

Cao đẳng - Đại học

... bnh nhõn Mó s 20 031 023 067 l ca bnh nhõn cú s ng kớ khỏm 67 ngy 23 /10 /20 03 Thit k c s d liu logic Mụ t d liu: Mó húa tờn gi Mt s phng phỏp mó hoỏ thụng dng: 1) Mó hoỏ liờn tip 2) Mó hoỏ theo ... trng nm 20 00, n, khoa Anh, cú mó l 8300FETVN 02 Mó bnh nhõn, cú di 11 kớ t, ú kớ t u l ngy thỏng nm ln n khỏm bnh u tiờn, kớ t sau l s th t ng kớ ca bnh nhõn ny ngy ú Thit k c s d liu logic Mụ ... v cho ngy, ch s ch s th t ng ký a)Vớ d: 20 130907314 Bnh nhõn th 314 ngy 07/09 /20 13 b)Cỏc x lý liờn quan: To mó bnh nhõn, Tỡm bnh nhõn Thit k c s d liu logic Quy trỡnh thit k mt CSDL Xem m i lo...
  • 30
  • 293
  • 0
PHÂN TÍCH VÀ THIẾT KẾ CƠ SỞ DỮ LIỆU.doc (2).DOC

PHÂN TÍCH VÀ THIẾT KẾ SỞ DỮ LIỆU.doc (2).DOC

Kế toán

... In thông tin tìm kiếm : Chức giúp ngời quản lý in thông tin tìm kiếm cần in II ) Thiết kế sở liệu ) Thiết kế Bảng ( Table ) :Để lu gi thông tin chơng trình a) Bảng QL máy tính dùng để lu tr thông ... tổng kết quý In thông tin thiết bị cần đơc sử chữa: Cho phép ngời quản lý In thông tin thiết bị dang hỏng, In thông tin tìm kiếm : Chức giúp ngời quản lý in thông tin tìm kiếm cần in II ) Thiết ... dụng liệu sau số lần nhập liệu + Cha đa đợc đầy đủ báo cáo chi tiết Vì thời gian hạn nên chơng trình nhập thử số liệu để chạy thử, cần tiếp tục đợc bổ sung hoàn thiện Khi yêu cầu cần thiết...
  • 18
  • 1,019
  • 15
Thiết kế cơ sở dữ liệu quan hệ_ Phần III

Thiết kế sở dữ liệu quan hệ_ Phần III

Cơ sở dữ liệu

... dụ : Ràng buộc R1 : ∀ t1, t2 ∈ SINHVIEN, t1.MaSV ≠ t2.MaSV Ràng buộc R2 : ∀ t1∈ SINHVIEN, ∃t2 ∈ DANGKY_HOCPHAN, t2.MAHP = ‘CSDL’ ∧ t2.MaSV = t1.MaSV Ý nghĩa ràng buộc R2: sinh viên phải đăng ký ... SINHVIEN : t.MASV = t1.MASV ∧ ∃ t2 ∈ HOCPHAN : t.MAHP = t2.MAHP • Bảng tầm ảnh hưởng chung : R1 chứa khóa ngoại, R2 chứa khóa Quan hệ Thêm Xóa Sửa + + + + Phân loại Ràng buộc ... điểm  Ràng buộc toàn vẹn quan trọng qui định ràng buộc liệu nhập/xuất CSDL • Ràng buộc toàn vẹn thường mô tả Tân từ (xem phần I), nhà thiết kế CSDL tìm phát trình phân tích CSDL Các yếu tố Ràng...
  • 14
  • 727
  • 1
Thiết kế cơ sở dữ liệu hệ thống quản lý nhân sự thực tế tại công ty Vận tải ôtô số 2

Thiết kế sở dữ liệu hệ thống quản lý nhân sự thực tế tại công ty Vận tải ôtô số 2

Quản trị kinh doanh

... STT Số thứ tự Text TDT Tiếng dân tộc Text III. 3 đồ cấu trúc liệu: IV Thiết kế chơng trình quản lý nhân công ty vận tải ôtô số 2: IV.1 Ngôn ngữ thiết kế chơng trình: Visual Basic (VB), sản phẩm ... Kiểu STT Số thứ tự Text Ngoaingu Ngoại ngữ Text III. 2. 20 Bảng văn hoá: TT Thuộc tính Diễn giải Kiểu STT Số thứ tự Text Vanhoa Văn hoá Text III. 2. 21 Bảng tiếng dân tộc: TT Thuộc tính Diễn giải ... Dienthoai Điện thoại liên lạc nhân viên Text III. 2. 2 Bảng phòng ban: STT Thuộc tính Diễn giải Kiểu Mapb Mã phòng ban Text Tenphongban Tên phòng ban Text III. 2. 3 Bảng chức vụ: STT Thuộc tính Diễn giải...
  • 36
  • 496
  • 0
giáo trình thiết kế cơ sở dữ liệu phần 2 của trịnh minh tuấn

giáo trình thiết kế sở dữ liệu phần 2 của trịnh minh tuấn

Cao đẳng - Đại học

... a) R R1 R2 R3 R4 R5 A a1 a1 b31 b41 a1 B b 12 a2 a2 b 42 b 52 C b13 b23 b33 a3 b53 D a4 b24 b34 a4 b54 E b15 b25 a5 a5 a5 Trang 89 b) R R1 R2 R3 R4 R5 A a1 a1 b31 b41 a1 B b 12 a2 a2 b 42 b 52 C b13 ... R1 R2 S a1 a1 A a2 b 22 I b13 a3 P a4 b24 Bởi S  A hai hàng giống cột S, làm cho gía trị cột A nhau, cho b 22 thành a2 Trang 87 Bảng kết là: R R1 R2 S a1 a1 - A a2 a2 I b13 a3 P a4 b24 Hình III. 7 ... CNA2 R2 ( MALP TENLP ) t21 CNA1 Cu nhan A1 r2 t 22 CNA2 Cu nhan A2 - Hình III. 5b – sở liệu sau phân rã – Như ta thay lược đồ R={MASV,TENSV,DIACHI, MALP,TENLP} R1= {MASV,TENSV,DIACHI,MALP} R2...
  • 92
  • 362
  • 0
Giáo trình thiết kế cơ sở dữ liệu  phần 2   trịnh minh tuấn (biên soạn)

Giáo trình thiết kế sở dữ liệu phần 2 trịnh minh tuấn (biên soạn)

Cơ sở dữ liệu

... 2. 68 2. 06 2. 18 2. 30 2. 12 2.47 2. 80 3. 02 107 Quan hệ danh sách CBVC với giá trò sau: Mã-ĐV 100 02 100 02 100 02 100 02 100 02 10003 10003 10003 10003 10 022 10 022 10 022 Mã-CC 1000 028 1000040 10000 42 ... d1 d2 d3 E) e1 e2 e3 R S =Q (A a1 a2 a2 a3 a3 B 2 2 C 1 2 C 1 2 D d1 d1 d2 d1 d2 E) e1 e1 e2 e1 e2 Kết phép kết nối tự nhiên quan hệ R S quan hệ Q’ với giá trò sau: R S = Q (A a1 a2 a3 B 2 C ... n2 giá trò, Q n1 x n2 giá trò Ví dụ 5 .2. 4: R (A B a1 b1 a2 b2 a3 b3 C) c1 c2 c3 S (D E d1 e1 d2 e2 97 F) f1 f2 R x S = Q (A a1 a1 a2 a2 a3 a3 B b1 b1 b2 b2 b3 b3 C c1 c1 c2 c2 c3 c3 D d1 d2...
  • 133
  • 404
  • 0
PHÂN TÍCH VÀ THIẾT KẾ CƠ SỞ DỮ LIỆU BÀI TOÁN

PHÂN TÍCH VÀ THIẾT KẾ SỞ DỮ LIỆU BÀI TOÁN

Kỹ thuật lập trình

... Ký tên: Nguyễn Văn Tài Lớp 507104 ĐỒ ÁN KỲ Tỉnh –Thành phố STT 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 Tỉnh/Thành phố An Giang Bà Rịa - Vũng Tàu Bạc Liêu Bắc Kan Bắc ... Chi 12 Ê-đê 39 La Ha 13 Ba-na 40 Phù Lá 14 Xơ-đăng 41 La Hủ 15 Sán Chay 42 Lự 16 Cơ- ho 43 Lô Lô 17 Chăm 44 Chứt 18 Sán Dìu 45 Mảng 19 Hrê 46 Pà Thẻn 20 Mnông 47 Lao 21 Ra-glai 48 Cống 22 Xtiêng ... Cống 22 Xtiêng 49 Bố Y Nguyễn Văn Tài 11 Lớp 507104 ĐỒ ÁN KỲ 23 Bru-Vân Kiều 50 Si La 24 Thổ 51 Pu Péo 25 Giáy 52 Brâu 26 Cơ- tu 53 Ơ Đu 27 Gié-Triêng 54 Rơ-măm 55 Người nước Khu vực 01 THÀNH PHỐ...
  • 69
  • 2,710
  • 29
bao cao thiet ke co so du lieu.doc

bao cao thiet ke co so du lieu.doc

Công nghệ thông tin

... : MATHAMSO TS01 TS 02 TS03 TS04 TS05 TS06 TENTHAMSO TUOITOITHIEU TUOITOIDA THOIHANTHE THOIHANXUATBAN SOSACHMUONTOIDA THOIGIANMUONTOIDA GIATRI 18 55 4 Biểu đồ sở liệu thiết kế theo phần mềm Microsoft ... ký tự Kiểu liệu Chuỗi ký tự Chuỗi ký tự Miền giá trị Tối đa 10 ký tự Tối đa 50 ký tự Kiểu liệu Chuỗi ký tự Chuỗi ký tự Ngày tháng Miền giá trị Tối đa 10 ký tự Tối đa 10 ký tự Kiểu liệu Chuỗi ... EMAIL NGAYHETHAN Kiểu liệu Chuỗi ký tự Chuỗi ký tự Chuỗi ký tự Ngày tháng Chuỗi ký tự Chuỗi ký tự Ngày tháng Miền giá trị Tối đa 10 ký tự Tối đa 50 ký tự Tối đa 10 ký tự Kiểu liệu Chuỗi ký tự Chuỗi...
  • 5
  • 1,435
  • 9
THIẾT KẾ CƠ SỞ DỮ LIỆU QUAN HỆ

THIẾT KẾ SỞ DỮ LIỆU QUAN HỆ

Cơ sở dữ liệu

... a1 b 12 b13 a4 b15 U1 a1 b 12 b13 a4 b15 U2 a1 a2 b13 b24 b25 U2 a1 a2 b23 a4 b25 U3 b31 a2 b13 b34 a5 U3 a1 a2 a3 a4 a5 U4 b41 b 42 a3 a4 a5 U4 a1 b 42 a3 a4 a5 U5 a1 b 52 b13 b54 a5 U5 a1 b 52 a3 ... b31 a2 b13 b34 a5 a3 a4 a5 U4 b41 b 42 a3 a4 a5 b53 b54 a5 U5 a1 b 52 b13 b54 a5 A B C D E U1 a1 b 12 b13 a4 b15 U2 a1 a2 b23 b24 U3 b31 a2 b33 U4 b41 b 42 U5 a1 b 52 H Cm H- HSP HN Tỏch kt ni khụng ... 24 25 31 33 34 41 42 5 H Cm H- HSP HN 1 52 53 54 Tỏch kt ni khụng mt thụng tin Tp cỏc ph thuc hm l: AC, BC, CD, DEC, CEA A B C D E U1 a1 b 12 b13 a4 b15 b25 U2 a1 a2 b13 b24 b25 b34 a5 U3 b31 a2...
  • 34
  • 2,681
  • 13
Slide thiết kế cơ sở dữ liệu

Slide thiết kế sở dữ liệu

Cơ sở dữ liệu

... vẹn liệu thao tác với toàn vẹn liệu  Tìm hiểu từ điển liệu, thiết kế bảo mật, thiết kế vật lý quan hệ với thiết kế CSDL Database Design and Implementation with SQL Server / Session / of 23 Các ... Server / Session / of 23 Thiết kế CSDL  Bất kể độ lớn tính phức tạp CSDL, việc thiết kế CSDL theo bước sau:      Thu thập thông tin Xác định đối tượng liệu Thiết kế mô hình liệu Xác định loại ... Implementation with SQL Server / Session / 22 of 23 Các yếu tố khác thiết kế CSDL  Từ điển liệu    Các kiểu liệu Thực thi toàn vẹn liệu   Các định nghĩa thành tố liệu độc lập với định nghĩa bảng...
  • 23
  • 1,373
  • 11
PHÂN TÍCH VÀ THIẾT KẾ CƠ SỞ DỮ LIỆU.doc.DOC

PHÂN TÍCH VÀ THIẾT KẾ SỞ DỮ LIỆU.doc.DOC

Kế toán

... thoại : 86 827 21 Dữ liệu nhập từ bảng Danh sach lai xe : 19 Nhập chạy xe: Bảng nhập loại xe :: 20 Nhập danh sách tuyến đờng Các bảng kết tính toán: 21 Bang tính tiền lãi thu duoc ngy 22 5) REPORTS ... đợc hộp bảng liệu : Đánh số xe vào hộp nhận đợc danh sách xe với thông tin đầy đủ Các toán tìm kiếm khác thực tơng tự Thí dụ sở liệu (gốc): Dữ liệu từ bảng chính: 18 Ta liệu vao bảng liệu để bổ ... thu ngày : Đây chức in thông tin tổng thu, dự toán, thuế, lãi xe ngày hoạt động II ) Thiết kế sở liệu ) Thiết kế Bảng ( Table ) Bảng Bang du lieu chinh lu trữ thông tin mã thông tin bến xe TT...
  • 34
  • 954
  • 4
Thiết kế cơ sở dữ liệu, thiết kế hệ thống chương trình, các giao diện, modul chính của chương trình.doc.DOC

Thiết kế sở dữ liệu, thiết kế hệ thống chương trình, các giao diện, modul chính của chương trình.doc.DOC

Kế toán

... dòng liệu, mô hình quan hệ thực thể, qui trình hệ thống, khái quát nội dung chức chơng trình, xây dựng chơng trình quản lý sách th viện Chơng : Xây dựng chơng trình: Thiết kế sở liệu, thiết kế ... lý sử dụng liệu hệ CSDL đợc thiết kế Quá trình thiết tối u việc phân tích file liệu logic trả lời đợc câu hỏi đề hệ thống nh yêu cầu thông tin đối tợng tiến hanh thu nhập, thống liệu theo tiêu ... kê( bạn đọc, loại tài liệu) theo năm để giúp lãnh đạo đánh giá tình hình phục vụ bạn đọc, tài liệu để kế hoạch bổ sung, huỷ bỏ tài liệu gửi thông báo cho bạn đọc ( đòi tài liệu) V Ngôn ngữ sử...
  • 19
  • 1,033
  • 6
Thiết kế cơ sở dữ liệu

Thiết kế sở dữ liệu

Kỹ thuật lập trình

... phải thiết kế logic tốt Điều bao gồm việc thiết kế bảng định nghĩa quan hệ chúng Thiết kế CSDL hiệu bắt đầu việc thiết kế CSDL chuẩn hóa Một CSDL logic thiết kế tốt tảng để thiết kế CSDL ứng ... hiểu chi tiết cách thiết kế CSDL logic Thiết kế CSDL vật lý liên quan đến cách lưu trữ, truyu xuất ràng buộc liệu để đảm bảo tốc độ phù hợp 1 .2 Thiết kế CSDL logic Thiết kế CSDL logic bao gồm trình ... với CSDL SQL Server Bước thiết kế CSDL lập kế hoạch cho CSDL, kế hoạch đóng vai trò hướng dẫn thực thiết kế đồng thời làm tài liệu kỹ thuật cho CSDL hoàn thành thiết kế Chi tiết độ phức tạp CSDL...
  • 20
  • 1,550
  • 3

Xem thêm