de thi hoc ki 1 mon vat li 9 nam hoc 2014 2015 co dap an

ĐỀ THI TUYỂN SINH ĐẠI HỌC NĂM 2013 MÔN VẬT LÍ KHỐI A VÀ KHỐI A1 CÓ ĐÁP ÁN

ĐỀ THI TUYỂN SINH ĐẠI HỌC NĂM 2013 MÔN VẬT LÍ KHỐI A VÀ KHỐI A1 CÓ ĐÁP ÁN

Ngày tải lên : 29/08/2013, 14:19
... 87,7% D 89, 2% 11 Câu 18 : Biết bán kính Bo r0 = 5,3 .10 m Bán kính quỹ đạo dừng M nguyên tử hiđrô B 47,7 .10 11 m C 13 2,5 .10 11 m D 21, 2 .10 11 m A 84,8 .10 11 m Câu 19 : Đặt điện áp u = 12 0 2cos2πft ... HẾT Trang 6/6 - Mã đề thi 8 59 BỘ GIÁO DỤC VÀ ĐÀO TẠO ĐỀ THI CHÍNH THỨC Câu 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 318 426 D D ... không) A 1, 75m0 B 1, 25m0 C 0,36m0 D 0,25m0 11 Câu 14 : Biết bán kính Bo r0 = 5,3 .10 m Bán kính quỹ đạo dừng M nguyên tử hiđrô B 47,7 .10 11 m C 13 2,5 .10 11 m D 21, 2 .10 11 m A 84,8 .10 11 m Câu 15 : Trong...
  • 38
  • 547
  • 0
ĐỀ THI TUYỂN SINH CAO ĐẲNG NĂM 2013 Môn VẬT LÍ Khối A và Khối A1 có đáp án

ĐỀ THI TUYỂN SINH CAO ĐẲNG NĂM 2013 Môn VẬT LÍ Khối A và Khối A1 có đáp án

Ngày tải lên : 29/08/2013, 14:22
... NĂM 2 013 Môn thi: VẬT LÍ; Khối A Khối A1 (Đáp án 02 trang) ĐỀ THI CHÍNH THỨC Câu 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 368 417 ... giá trị A từ 3 ,95 .10 14 Hz đến 8,50 .10 14 Hz B từ 4,20 .10 14 Hz đến 6,50 .10 14 Hz C từ 4,20 .10 14 Hz đến 7, 89 .10 14 Hz D từ 3 ,95 .10 14 Hz đến 7, 89 .10 14 Hz Câu 16 : Hai lắc đơn chiều dài 1 ℓ2, treo trần ... 3 ,95 .10 14 Hz đến 8,50 .10 14 Hz C từ 4,20 .10 14 Hz đến 6,50 .10 14 Hz D từ 4,20 .10 14 Hz đến 7, 89 .10 14 Hz Câu 8: Cho khối lượng prôtôn, nơtron hạt nhân He là: 1, 0073u; 1, 0087u 4,0 015 u Biết 1uc2 = 9 31, 5...
  • 32
  • 360
  • 0
Đề thi học sinh giỏi tỉnh vĩnh phúc môn Vật lý 9 năm học 2014 - 2015(có đáp án)

Đề thi học sinh giỏi tỉnh vĩnh phúc môn Vật lý 9 năm học 2014 - 2015(có đáp án)

Ngày tải lên : 23/06/2015, 17:46
... Q1 = C1m1∆t1 = C1l1D1S1∆t1 (2) Nhiệt lượng cần để dây chì tăng từ nhiệt độ môi trường tới nhiệt độ nóng chảy là: Q = C2 m ∆t = C2 l D 2S2 ∆t (3) Thay (2) (3) vào (1) ta S2 = S1 0,25 0,25 C1D1∆t1ρ2 ... R = 0 ,9 .12 = 10 ,8 (V) 0,25 0,25 U A N M R1 R2 A B Hình 0,25 A1 C Mặt khác: A2 R3 U MN = U MC + U CB = (R + R AC )I1 + U CB ( I1 dòng điện qua R1) U − U CB 36 − 10 ,8 I1 = MN = = 1, 8A => R1 + R ... AC + 10 Suy số ampe kế A1 là: 0,25 0,25 Hay 3(P + P1 ) = 2P2 (1) + Trường hợp thứ hai treo D: 0,25 A Hình + Thay vào phương trình ta B I A1 = I1 − I3 = 1, 8 − 0 ,9 = 0,9A 0,25 0,25 Vì I A1 =...
  • 5
  • 5.4K
  • 70
Đề thi học kì I môn tiếng anh lớp 12 tỉnh vĩnh phúc năm học 2014 - 2015(có đáp án)

Đề thi học kì I môn tiếng anh lớp 12 tỉnh vĩnh phúc năm học 2014 - 2015(có đáp án)

Ngày tải lên : 24/07/2015, 07:04
... match this position and this company The employer will be looking and listening to determine if you are a good fit He/she will be looking for a number of different qualities, in addition to the skills ... chance for you to restate your interest and how you can benefit the company Your best bet is to try to time it so that the note gets Ðề ki m tra học I- Nãm học 2 014 -2 015 - Môn Tiếng Anh 12 ... about 10 -15 minutes; be enthusiastic; shake hands firmly; be an active listener; sit up straight and maintain eye contact; and ask questions After the interview, follow up with a thank-you note This...
  • 3
  • 964
  • 5
Đề thi hoc Kì I môn toán lớp 12 tỉnh vĩnh phúc năm học 2014 - 2015(có đáp án)

Đề thi hoc Kì I môn toán lớp 12 tỉnh vĩnh phúc năm học 2014 - 2015(có đáp án)

Ngày tải lên : 24/07/2015, 07:06
... biến thi n - Giới hạn: lim y = lim x →+∞ x →+∞ x 1+ x = 0, lim y = lim x →−∞ x →−∞ x 1+ x 0,25 = -Chiều biến thi n: Ta 0,25 x =1 1.( x + 1) − x.2 x − x2 y' = = y ' = ⇔ − x2 = ⇔  ( x + 1) ( ... ĐỀ KI M TRA HỌC I, NĂM HỌC 2 014 -2 015 MÔN: TOÁN, LỚP 12 Câu 1. a) ( điểm) Khảo sát, vẽ đồ thị hàm số y = x − x + (1) Nội dung *) Tập xác định: D = ¡ *) Sự biến thi n Điểm 0,25 - Giới hạn: xlim ... (−∞; 1) ; (1; +∞) nghịch biến khoảng ( 1; 1) Hàm số đạt cực đại x = 1, yCD = ; hàm số đạt cực tiểu x = 1, yCT = - Bảng biến thi n: 0,50 *) Đồ thị f(x) = (x3 -3⋅x)+2 y 0,50 -2 -1 O x -2 Câu 1. b)...
  • 5
  • 1K
  • 4
Đề thi học kì I môn ngữ văn lớp 12 tỉnh vĩnh phúc năm học 2014 - 2015(có đáp án)

Đề thi học kì I môn ngữ văn lớp 12 tỉnh vĩnh phúc năm học 2014 - 2015(có đáp án)

Ngày tải lên : 24/07/2015, 07:07
... CHẤM KI M TRA HỌC I LỚP 12 NĂM HỌC 2 014 - 2 015 MÔN: NGỮ VĂN (Hướng dẫn chấm gồm 02 trang) Phần Ý Nội dung Điểm I Đọc đoạn trích trả lời câu hỏi 4,0 Đoạn thơ lời nhắn nhủ chân thành, tha thi t ... Quỳnh, thi sĩ tình yêu Lưu ý: - Trên gợi ý bản, giám khảo cần bám sát Hướng dẫn chấm thực tế làm thí sinh điểm xác - Cần khuyến khích viết tư mạch lạc, cảm xúc, sáng tạo Hết 1, 0 1, 0 1, 0 1, 0 ... cách nghệ thuật thơ Xuân Quỳnh Bài thơ sáng tác năm 19 67 chuyến thực tế Xuân Quỳnh vùng biển Diêm Điền - Thái Bình in tập Hoa dọc chiến hào ( 19 68) Phân tích * Nội dung - Sóng cảm nhận với hai tính...
  • 4
  • 3.5K
  • 4
Đề thi học kì I môn địa lớp 12 tỉnh vĩnh phúc năm học 2014 - 2015(có đáp án và ma trận)

Đề thi học kì I môn địa lớp 12 tỉnh vĩnh phúc năm học 2014 - 2015(có đáp án và ma trận)

Ngày tải lên : 24/07/2015, 07:07
... số li u: Sự biến động diện tích rừng nước ta giai đoạn 19 43 - 2005 (Đơn vị: triệu ha) Năm Tổng diện tích rừng Diện tích rừng tự nhiên Diện tích rừng trồng 19 43 14 ,3 14 ,3 19 83 7,2 6,8 0,4 2005 12 ,7 ... địa Việt Nam tài li u khác Cán coi thi không giải thích thêm Họ tên học sinh:…………………………………………… Số báo danh:…………… SỞ GD&ĐT VĨNH PHÚC HƯỚNG DẪN CHẤM KI M TRA HỌC KỲ I NĂM HỌC 2 014 - 2 015 MÔN: ĐỊA ... SỞ GD&ĐT VĨNH PHÚC ĐỀ KI M TRA HỌC KỲ I -NĂM HỌC 2 014 - 2 015 MÔN: ĐỊA LÝ; LỚP 12 Thời gian làm bài: 45 phút, không kể thời gian phát đề ĐỀ CHÍNH THỨC Câu (3,5 điểm) GIÓ...
  • 4
  • 1.2K
  • 2
Đề thi học kì II môn toán 9 Hoàn Nhơn năm học 2014 - 2015(có đáp án)

Đề thi học kì II môn toán 9 Hoàn Nhơn năm học 2014 - 2015(có đáp án)

Ngày tải lên : 24/07/2015, 21:25
... Đáp án A D B C C B, C C A B, D 10 A 11 B 12 D II PHẦN TỰ LUẬN: (7 điểm) Bài (1, 0 điểm) (1, 5 điểm) Đáp án T.điểm  x + y = 2 y = ⇔  x + y = x + y = y =  x = 1 ⇔ ⇔ kết luận x + = y = ... hình nón tích là: A 48 π cm3 B 36 π cm3 C 16 π cm3 D 12 π cm3 II PHẦN TỰ LUẬN: (7 điểm)  x + 3y = Bài 1: (1 điểm) Giải hệ phương trình  x + y =  Bài 2: (1, 5 điểm) Cho hàm số y = – x2 đồ thị ... ………………………………………………………………………………………………………………………… ………………………………………………………………………………………………………………………… HƯỚNG DẪN CHẤM MÔN: TOÁN KI M TRA HỌC KỲ II, NĂM HỌC 2 014 – 2 015 I PHẦN TRẮC NGHIỆM: (3 điểm) Mỗi câu trả lời đầy đủ 0,25 điểm (ở câu phải...
  • 6
  • 1.1K
  • 16
Đề thi học kì II môn toán 9 tỉnh bắc Ninh năm học 2014 - 2015(có đáp án)

Đề thi học kì II môn toán 9 tỉnh bắc Ninh năm học 2014 - 2015(có đáp án)

Ngày tải lên : 24/07/2015, 21:25
... tam giác ODE DE + OE + DO mà OD = R nên chu vi tam giác ODE lớn OE + ED lớn Áp dụng bất đẳng thức Bunhia cho cặp số (1, 1) (OE; DE) ta có: ( OE + DE ) ≤ ( 12 + 12 ) ( OE + DE ) ⇒ ( OE + DE ) ≤ DO ... DE ≤ R 2 Dấu ‘=’ xảy OE = DE tam giác ODE vuông cân E suy góc DOE = 450 D điểm cung BM Bài (0,5 điểm) Cho x, y, z ba số thực tùy ý Tìm giá trị nhỏ biểu thức : M = x + y + z − yz − 4x − 3y + 2 015 ... thức : M = x + y + z − yz − 4x − 3y + 2 015   y2   3y2 M =  z − yz + ÷+  − y + ÷+ ( x − x + ) + 2008 Ta     2 y  y  M =  z − ÷ +  − 1 + ( x − ) + 2008 ≥ 2008 2  2  y ...
  • 2
  • 9.4K
  • 112
Đề thi học kì II môn toán 9 tỉnh Hưng Yên năm học 2014 - 2015(có đáp án)

Đề thi học kì II môn toán 9 tỉnh Hưng Yên năm học 2014 - 2015(có đáp án)

Ngày tải lên : 24/07/2015, 21:25
... DAC đồng dạng với tam giác HMA (c.g.c) CDA = 90 0 suy D thuộc đường tròn đường kính AC cố định 2 Bài x + x − 8x + 17 = 6x ( ⇔(x ⇔(x ( )( ) ) ( ( x − ) + 1) = 6x + ) ( x − ) + x − 6x + = + ) ( x − ... Bài c) Cách Ta chứng minh góc AMI = 13 50 góc DMA = 450 => D, M, I thẳng hàng suy đỉnh D C nhìn AI góc 450 nên tứ giác ADCI nội tiếp...
  • 4
  • 4.4K
  • 68
Đề thi học kì II môn toán 9 tỉnh An Giang năm học 2014 - 2015(có đáp án)

Đề thi học kì II môn toán 9 tỉnh An Giang năm học 2014 - 2015(có đáp án)

Ngày tải lên : 26/07/2015, 14:34
... SỞ GIÁO DỤC VÀ ĐÀO TẠO AN GIANG HƯỚNG DẪN CHẤM THI Năm học 2 014 – 2 015 MÔN TOÁN9 A ĐÁP ÁN 𝑥 + 5𝑥 − = Đặt 𝑡 = 𝑥 Đ𝐾 𝑡 ≥ Phương trình trở thành Câu a 𝑡 + 5𝑡 − = 1, 0 điểm Do phương trình ... phương trình hai nghiệm 1 = 1; 𝑡2 = −6 (𝑙𝑜ạ𝑖) Với 𝑡 = ⟹ 𝑥 = ⟹ 𝑥 = 1 Vậy phương trình hai nghiệm 𝑥 = 1; 𝑥 = 1 x−y=7 Bài x−y=7 ⟺ 2x + y = 1 3x = x−y=7 Câu b x=2 1, 0 x=2 ⟺ điểm y=x−7 x=2 ... giải thích chưa hợp lý, học sinh nêu ước chừng số cụ thể khoảng sau Năm 2 015 tiêu thụ 19 00 đến 210 0 ngàn điện thoại Năm 2 016 tiêu thụ 2400 đến 2600 ngàn điện thoại 0,5 0,5 0,5 0,5 0,5 0,5 0,25...
  • 4
  • 3.1K
  • 42
Đề thi học kì II môn toán 9 tỉnh Thái Bình năm học 2014 - 2015(có đáp án)

Đề thi học kì II môn toán 9 tỉnh Thái Bình năm học 2014 - 2015(có đáp án)

Ngày tải lên : 26/07/2015, 14:38
... + a +b 2 015 2 014 a + 2006b + ab 2 015 2 015 a + 2 015 b − a + ab − 9b + 2 015 2 015 (a + b) − ( a − b ) với a>0; b > Nên P ≥ a + b − a +b + a +b a + b (x>0) 1   Ta P ≥ x − + ⇔ P ≥ x + − + 1 − + ÷ ... x  Đặt x =  1 ⇔ P ≥ x + − + 1 − ÷ x  x 11 Áp dụng BDDT Cosi ta x + ≥ x với x>0 1 − ÷ ≥ với x>0 x x  x  1 Nên P ≥ x + − + 1 − ÷ ≥ − = x  x Vậy giá trị nhỏ P 1  x=   x ⇔ ... − = x  x Vậy giá trị nhỏ P 1  x=   x ⇔ x = (TM) Dấu “=” xảy  1 − =  x  a + b = a = 0 ,9 ⇔ Hay  a = 9b b = 0 ,1 ...
  • 2
  • 12.1K
  • 197

Xem thêm