bang ma led 7 doan

thiết kế đồng hồ số hiển thị bằng 8 led 7 đoạn

thiết kế đồng hồ số hiển thị bằng 8 led 7 đoạn

Ngày tải lên : 15/04/2014, 11:58
... sử dụng led kép gồm led đơn gắn led gọi MPX4-CA để hiển thị Nguyên lý hiển thị quét led: đa điện áp vào led đa liệu liệu,tất hiển thị led nối chung bus liệu bit,muốn sáng led đa liệu bus đồng ... hay P3 .7( chân số 17) :đa tín hiệu đọc liệu vào nhóm tín hiệu địa chỉ,dữ liệu -Cổng vào,ra địa chỉ/dữ liệu P0 hay P0.0-P0 .7: (chân39-32):dùng trao đổi thông tin liệu D0-D7 đa địa thấp (A0-A7) theo ... nguồn riêng cho katot cua led đó,ở mạch hiển thị led đoạn,để điều khiển cho led đoạn, ta sử dụng đờng dây điều khiển để cấp nguồn cho led đờng dây liệu chung cho tất led( đờng không cần dấu chấm)...
  • 27
  • 2.3K
  • 7
THIẾT kế MẠCH GIẢI mã LED 7 đoạn QUA SÓNG RF

THIẾT kế MẠCH GIẢI mã LED 7 đoạn QUA SÓNG RF

Ngày tải lên : 05/10/2014, 22:56
... PD4/OC1B PD5/OC1A PD6/ICP1 PD7/OC2 AREF AVCC 22 23 24 25 26 27 28 29 14 15 16 17 18 19 20 21 32 30 VDD DTX GND DRX CONFIG ENABLE P P A B C D E F G A B C D E F G LED1 LED2 NUT2 U2 VDD DTX GND DRX ... PC5/TDI PC6/TOSC1 PC7/TOSC2 XTAL1 XTAL2 PA0/ADC0 PA1/ADC1 PA2/ADC2 PA3/ADC3 PA4/ADC4 PA5/ADC5 PA6/ADC6 PA7/ADC7 PB0/T0/XCK PB1/T1 PB2/AIN0/INT2 PB3/AIN1/OC0 PB4/SS PB5/MOSI PB6/MISO PB7/SCK ATMEGA16 ... 23 24 25 26 27 28 29 14 15 16 17 18 19 20 21 32 30 PC0/SCL PC1/SDA PC2/TCK PC3/TMS PC4/TDO PC5/TDI PC6/TOSC1 PC7/TOSC2 PD0/RXD PD1/TXD PD2/INT0 PD3/INT1 PD4/OC1B PD5/OC1A PD6/ICP1 PD7/OC2 AREF...
  • 22
  • 1.1K
  • 5
THIẾT kế ĐỒNG hồ điện tử HIỂN THỊ BẰNG 8 LED 7 đoạn

THIẾT kế ĐỒNG hồ điện tử HIỂN THỊ BẰNG 8 LED 7 đoạn

Ngày tải lên : 04/09/2015, 10:15
... thi digit LED7 _DATA = LED_ code[so6]; DIGIT6 = 0; delay(N); DIGIT6 = 1; // hien thi digit LED7 _DATA = LED_ code[so7]; DIGIT7 = 0; delay(N); DIGIT7 = 1; // hien thi digit LED7 _DATA = LED_ code[so8]; ... thi digit LED7 _DATA = LED_ code[so3]; DIGIT3 = 0; delay(N); DIGIT3 = 1; // hien thi digit LED7 _DATA = LED_ code[so4]; DIGIT4 = 0; delay(N); DIGIT4 = 1; // hien thi digit LED7 _DATA = LED_ code[so5]; ... so5,unsigned char so6,unsigned char so7,unsigned char so8) { // hien thi digit LED7 _DATA = LED_ code[so1]; DIGIT1 = 0; delay(N); DIGIT1 = 1; // hien thi digit LED7 _DATA = LED_ code[so2]; DIGIT2 = 0; delay(N);...
  • 17
  • 618
  • 0
Thiết kế bộ đo tần số hiển thị bằng led 7 đoạn

Thiết kế bộ đo tần số hiển thị bằng led 7 đoạn

Ngày tải lên : 25/06/2013, 07:03
... chốt địa có tần số 1/6 tần số dao động vi điều khiển.Tín hiệu ALE dùng phép vimạch chốt bên 74 373 ,74 573 chốt byte địa thấp khỏi bus đa hợp địa chỉ/dữ liệu (Port 0) Chân /EA(External Access) : ... TH Bit P3.0 P3.1 P3.2 P3.3 P3.4 P3.5 P3.6 P3 .7 M K H A O V N Port 0(P0.0 – P0 .7) : Port gồm chân,ngoài chức xuất nhập ,port bus liệu địa (AD0 – AD7),chức sử dụng 8051 giao tiếp với thiết bị có ... với thiết bị có kiến trúc Bus vi mạch nhớ… Port (P1.0 – P1 .7) : có chức xuất nhập theo bit theo byte.Bên cạnh chân P1.5 , P1.6 , P1 .7 dùng để nạp ROM theo chuNn ISP , chân P1.0 P1.1 dùng cho...
  • 5
  • 2.1K
  • 38
Tài liệu Kỹ thuật điện tử - Kỹ thuật số - Mạch logic tổng hợp - Mạch giải mã kéo led 7 đoạn (phần2) pptx

Tài liệu Kỹ thuật điện tử - Kỹ thuật số - Mạch logic tổng hợp - Mạch giải mã kéo led 7 đoạn (phần2) pptx

Ngày tải lên : 23/12/2013, 06:16
... hiển thò cấu trúc LED đoạn g dp Học viện cơng nghệ BCVT Khoa Kỹ Tḥt Điện Tử II CHƯƠNG 4: MẠCH LOGIC TỔ HỢP MẠCH GIẢI KÉO LED ĐOẠN D C B A LT RBI BI/RBO a b c d e f g 74 LS 47 Bài giảng ... giảng Kỹ Tḥt Sơ 13 12 11 10 15 14 LED A Học viện cơng nghệ BCVT Khoa Kỹ Tḥt Điện Tử II Bảng hoạt động mạch giải kéo Led đoạn A chung (IC 74 LS 47) Input Ngõ ĐK Output Ngõ liệu LT RBI ... Điện Tử II CHƯƠNG 4: MẠCH LOGIC TỔ HỢP MẠCH GIẢI KÉO LED ĐOẠN D C B A a b c d e f g LT RBI BI/RBO 13 12 11 10 15 14 LED A 74 LS 47 Input Ngõ ĐK LT Ngõ liệu RBI RBO D Bài giảng Kỹ Tḥt Sơ...
  • 25
  • 1.6K
  • 19
thiết kế bộ đo tần số hiển thị bằng led 7 đoạn

thiết kế bộ đo tần số hiển thị bằng led 7 đoạn

Ngày tải lên : 26/02/2014, 08:42
... Công Nghiệp Hà Nội 17 CN CĐT _K6 Đồ án môn Vi điều khiển GVHD: Vũ Thị Thu Hương Vì led đoạn chứa bên led đơn, kết nối cần đảm bảo dòng qua led đơn khoảng 1 0mA- 2 0mA để bảo vệ led Nếu kết nối với ... động sáng tắt led led đơn nó, liệu xuất điều khiển led đoạn thường gọi "mã hiển thị led đoạn" Có hai kiểu hiển thị led đoạn: dành cho led đoạn có Anode(cực +) chung dành cho led đoạn có ... P1=0Xfd; P2 =ma[ d2]; delay(200); P1=0Xfb; P2 =ma[ d3]; delay(200); P1=0Xf7; P2 =ma[ d4]; delay(200); } else { ts=0 ; led3 = 1; delay(5000); led3 = 0; delay(5000); } } Trường ĐH Công Nghiệp Hà Nội 27 CN CĐT...
  • 33
  • 1.3K
  • 3
Thiết kế bộ đo và khống chế nhiệt độ hiển thị bằng led 7 đoạn

Thiết kế bộ đo và khống chế nhiệt độ hiển thị bằng led 7 đoạn

Ngày tải lên : 02/04/2014, 10:42
... donvi=nhiet_do%10; led0 =1; led1 =led2 =led3 =0; P0=M[chuc]; delay(100); led1 =1; led0 =led2 =led3 =0; P0=M[donvi]; delay(100); led2 =1; led0 =led1 =led3 =0; P0=0x9c; delay(100); led3 =1; led0 =led1 =led2 =0; P0=0xc6; ... P3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR P3 .7/ RD 39 38 37 36 35 34 33 32 21 22 23 24 25 26 27 28 10 11 12 13 14 15 16 17 D5 R2 R1 D3 LED- BLUE LED- GREEN LED- YELLOW LED- RED D2 10k 10k AT89C52 VIN+ VINADC0804 ... #include sbit led0 =P2^0; sbit led1 =P2^1; sbit led2 =P2^2; sbit led3 =P2^3; sbit led_ do=P2^4; //nhiet moi truong < nhiet khong che sbit led_ vang=P2^5; //nhiet moi truong = nhiet khong che sbit led_ xanh=P2^6;...
  • 24
  • 1K
  • 1
thiết kế mô hình voltmet điện tử , hiển thị bằng led  7 đoạn

thiết kế mô hình voltmet điện tử , hiển thị bằng led 7 đoạn

Ngày tải lên : 15/04/2014, 11:59
... khien led sbit led1 =P2^4; //hien thi dien ap duoc bang led thanh, sbit led2 =P2^5; / /led1 noi voi P2.4 ;led2 noi voi P2.5 sbit led3 =P2^6; / /led3 noi voi P2.6 sbit led4 =P2 ^7; / /led4 noi voi P2 .7 //khai ... delay_us(100); led3 =1; led1 =led2 =led4 =0; P0=font1[donvi];//font khong co dau dp delay_us(100); led4 =1; led1 =led2 =led3 =0; P0=font[phan1]; delay_us(100); } } } //ham tat led void tat _led( void) { led1 =led2 =led3 =led4 =0; ... tren led7 for(i=0;i
  • 21
  • 919
  • 8
thiết kế mạch đo và khống chế nhiệt độ môi trường hiển thị bằng led 7 đoạn

thiết kế mạch đo và khống chế nhiệt độ môi trường hiển thị bằng led 7 đoạn

Ngày tải lên : 15/04/2014, 12:33
... delay(100); led2 =1; led0 =led1 =led3 =0; P0=0x9c; delay(100); led3 =1; led0 =led1 =led2 =0; P0=0xc6; delay(100); } if(nhiet_do=100) { led0 =led1 =led2 =led3 =0; P0=0xff; delay(100); } } void main(void) ... P1 .7 P3.0/RXD P3.1/TXD P3.2/INT0 P3.3/INT1 P3.4/T0 P3.5/T1 P3.6/WR P3 .7/ RD 39 38 37 36 35 34 33 32 21 22 23 24 25 26 27 28 10 11 12 13 14 15 16 17 D5 R2 R1 D3 LED- BLUE LED- GREEN LED- YELLOW LED- RED ... { chuc=nhiet_do/10; donvi=nhiet_do%10; } for (k=0;k
  • 24
  • 949
  • 0
thiết kế một mạch giải mã cho led 7 đoạn sử dụng các cổng lôgic

thiết kế một mạch giải mã cho led 7 đoạn sử dụng các cổng lôgic

Ngày tải lên : 05/05/2014, 14:05
... cng logic phc hn, IC 74 LS1 47 l mch mó hoỏ u tiờn 10 ng sang ng, nú ó c tớch hp sn tt c cỏc cng logic nú Kớ hiu ca 74 LS1 47 nh hỡnh 2.1.5 bờn di: IC74LS1 47 Bng s tht ca 74 LS1 47 SV thực hiện: 51 ... rộng rãi ngời ta sản xuất loại IC họ LM78xx để thuận tiện việc sử dụng * Những dạng seri 78 xx LA7805 IC ổn áp 5V LA7808 IC ổn áp 8V LA7809 IC ổn áp 9V LA7812 IC ổn áp 12V Đây dòng cho điện áp ... GVHD: Phm Vn Phi -Thanh lõp dang song : 2.4.3 IC gii mó (IC 74 LS 47) - IC 74 LS 47 chuyờn ụi ma BCD khuụng dang phu hp vi theo hờ 10 bng LED co A chung Khi õu vao LAMP TEST thõp tõt ca cac õu ờu thõp...
  • 68
  • 6.6K
  • 7
ứng dụng vi điều khiển thiết kế bộ đo và khống chế nhiệt độ hiển thị bằng led 7 đoạn

ứng dụng vi điều khiển thiết kế bộ đo và khống chế nhiệt độ hiển thị bằng led 7 đoạn

Ngày tải lên : 09/10/2014, 20:37
... donvi=nhiet_do%10; led0 =1; led1 =led2 =led3 =0; P0=M[chuc]; delay(100); led1 =1; led0 =led2 =led3 =0; P0=M[donvi]; 16 ng dng vi iu khin thit k b o v khng ch nhit hin th bng led on delay(100); led2 =1; led0 =led1 =led3 =0; ... delay(100); led3 =1; led0 =led1 =led2 =0; P0=0xc6; delay(100); } else { led0 =led1 =led2 =led3 =0; P0=0xff; delay(100); } } void main(void) //ham chớnh { IE=0x85; IT0=IT1=1; khong_che=20; while(1) { tong=0; 17 ... if(khong_che < nhiet_do) {led_ do =led_ vang=1; led_ xanh=0; } if(khong_che > nhiet_do) {led_ vang =led_ xanh=1; led_ do=0; } if(khong_che == nhiet_do) {led_ do =led_ xanh=1; led_ vang=0; 18 ng dng vi iu...
  • 24
  • 433
  • 0
Đồ án vi điều khiển hiển thị vị trí bàn đạp ga bằng Led 7 đoạn

Đồ án vi điều khiển hiển thị vị trí bàn đạp ga bằng Led 7 đoạn

Ngày tải lên : 13/10/2014, 10:01
... RAM 7F RAM đa dụng 30 2F 2E 2D 2C 2B 2A 29 28 27 26 25 24 23 22 21 20 1F 18 17 10 0F 08 07 00 7F 77 7E 76 7D 75 7C 74 7B 73 7A 72 79 71 78 70 6F 6E 6D 6C 6B 6A 69 68 67 5F 57 4F 47 3F 37 2F 27 ... 27 28 1350 1400 1.35 1.4 69.12442 71 .68459 29 30 1450 1500 1.45 1.5 74 .24 475 76 .80492 31 32 1550 1600 1.55 1.6 79 .36508 81.92524 33 34 1650 170 0 1.65 1 .7 84.48541 87. 045 57 35 36 175 0 1800 1 .75 ... 2.25 2.3 115.2 074 1 17. 7 675 47 48 2350 2400 2.35 2.4 120.3 277 122.8 879 49 50 2450 2500 2.45 2.5 125.448 128.0082 51 52 2550 2600 2.55 2.6 130.5684 133.1285 53 54 2650 270 0 2.65 2 .7 ĐỒ ÁN VI ĐIỀU...
  • 33
  • 606
  • 2
THIẾT KẾ ĐỒNG HỒ ĐIÊN TỬ SỬ DỤNG CỔNG NỐI TIẾP HIỂN THỊ  BẰNG LED 7 ĐOẠN (ĐỒ ÁN MÔN HỌC ĐO LƯỜNG VÀ ĐIỀU KHIỂN BẰNG MÁY TÍNH ( ĐỒ ÁN MÔN HỌC ĐO LƯỜNG VÀ ĐIỀU KHIỂN BẰNG MÁY TÍNH)

THIẾT KẾ ĐỒNG HỒ ĐIÊN TỬ SỬ DỤNG CỔNG NỐI TIẾP HIỂN THỊ BẰNG LED 7 ĐOẠN (ĐỒ ÁN MÔN HỌC ĐO LƯỜNG VÀ ĐIỀU KHIỂN BẰNG MÁY TÍNH ( ĐỒ ÁN MÔN HỌC ĐO LƯỜNG VÀ ĐIỀU KHIỂN BẰNG MÁY TÍNH)

Ngày tải lên : 03/11/2014, 14:55
... // LED hang chuc break; case 2: LED1 =LED3 =LED4 =0; P0=M[so3]; LED2 =1; // led hang tram break; case 3: LED4 =LED2 =LED3 =0; P0=M[so4];; LED1 =1; // led hang nghin break; } if(++dem==4)dem=0; } void main() ... M[10]={0xC0,0xF9,0xA4,0xB0,0x99,0x92,0x82,0xF8,0x80,0x90}; // ma led void timer0 (void) interrupt { TH0=0xF8; TL0=0x40; switch(dem) { case 0: LED2 =LED3 =LED1 =0; P0=M[so1]; LED4 =1; // LED hang don vi break; case 1: LED1 =LED2 =LED4 =0; P0=M[so2]; LED3 =1; ... #include sbit LED1 =P1^0; // quet led hang nghin sbit LED2 =P1^1; // quet led hang tram sbit LED3 =P1^2; // quet led hang chuc sbit LED4 =P1^3; // quet led hang don vi int so4, so3,so2,so1,i,j,tg;...
  • 20
  • 1.2K
  • 2
Đếm sản phẩm và hiện thị led 7 đoạn đặt trước số đếm bằng nút nhấn và dừng khi số sản phẩm bằng số đặt trước

Đếm sản phẩm và hiện thị led 7 đoạn đặt trước số đếm bằng nút nhấn và dừng khi số sản phẩm bằng số đặt trước

Ngày tải lên : 12/11/2014, 21:37
... gồm linh kiện sau: 2 (74 85), 4 (74 192), 4 (led đoạn), 36(điện trở), 3(nút nhấn), 1 (78 05), 1(transitor), 1(biến trở), 1(cầu diode) , 1 (74 1, 74 14, 74 08, relay), 7( tụ), led thu led phát 29 Nguyễn Văn ... nhiều led đoạn cần phải tính toán nguồn Có led đoạn, led có đoạn, led sáng hết đoạn sáng, lấy trung bình đoạn sáng Mỗi đoạn tương đương led đơn có dòng 1 0mA Dòng tổng It = 4x5x10 = 200 mA Sữ ... phát tính hiệu , có led thu led phát B Khối nguồn cấp nguồn cho toàn mạch C IC : 74 192 , IC so sánh 74 85, 74 14 74 08 D Một số linh kiện khác : điện trở , biến trở , tụ……… 27 Nguyễn Văn Nam Chương...
  • 30
  • 2.6K
  • 0
Sử dụng MUX thiết kế mạch giải mã BCD sang LED 7 đoạn loại ca tốt chung

Sử dụng MUX thiết kế mạch giải mã BCD sang LED 7 đoạn loại ca tốt chung

Ngày tải lên : 19/12/2014, 13:29
... BCD sang LED đoạn cathode chung _Dùng phần mềm Microsoft Office Visio 20 07 để vẽ) Hình 3.2.2 3.3 Sơ đồ nguyên lý dùng MUX (IC 74 LS1 57) giải BCD thành LED đoạn cathode chung (IC 74 LS48) Nhóm ... chung hay cathode chung) để làm đoạn led cần thiết sáng tạo nên số hay kí tự Đầu Đầu vào Hình 2.1 2.1 Khảo sát IC 74 LS48 IC 74 LS48 loại IC giải BCD sang led đoạn loại cathode chung Hình dạng ... dụng MUX giải BCD thành Led đoạn cathode chung: MUX GIẢI BCD LED ĐOẠN Hình 3.1.1 3.2 Thiết kế mạch giải BCD sang LED đoạn cathode chung Như nói phần giới thiệu LED đoạn việc hiển thị cho...
  • 13
  • 17K
  • 146
ĐỀ TÀI:THIẾT KẾ ĐỒNG HỒ ĐIÊN TỬ SỬ DỤNG CỔNG NỐI TIẾP HIỂN THỊ BẰNG LED 7 ĐOẠN

ĐỀ TÀI:THIẾT KẾ ĐỒNG HỒ ĐIÊN TỬ SỬ DỤNG CỔNG NỐI TIẾP HIỂN THỊ BẰNG LED 7 ĐOẠN

Ngày tải lên : 16/03/2015, 18:02
... www.doko.vn/luan-van/thiet-ke-dong-ho-dien-tu-su-dung-cong-noi-tiep-hien-thi -bang- led- 7- doan- 242168 8/22 13/01/2014 Thiết kế đồng hồ điện tử sử dụng cổng nối tiếp hiển thị led đoạn www.doko.vn/luan-van/thiet-ke-dong-ho-dien-tu-su-dung-cong-noi-tiep-hien-thi -bang- led- 7- doan- 242168 ... www.doko.vn/luan-van/thiet-ke-dong-ho-dien-tu-su-dung-cong-noi-tiep-hien-thi -bang- led- 7- doan- 242168 17/ 22 13/01/2014 Thiết kế đồng hồ điện tử sử dụng cổng nối tiếp hiển thị led đoạn www.doko.vn/luan-van/thiet-ke-dong-ho-dien-tu-su-dung-cong-noi-tiep-hien-thi -bang- led- 7- doan- 242168 ... www.doko.vn/luan-van/thiet-ke-dong-ho-dien-tu-su-dung-cong-noi-tiep-hien-thi -bang- led- 7- doan- 242168 12/22 13/01/2014 Thiết kế đồng hồ điện tử sử dụng cổng nối tiếp hiển thị led đoạn www.doko.vn/luan-van/thiet-ke-dong-ho-dien-tu-su-dung-cong-noi-tiep-hien-thi -bang- led- 7- doan- 242168...
  • 22
  • 830
  • 0
BÀI BÁO CÁO THỰC TẬP-thiết kế bộ nguồn DC có đầu ra thay đổi hiển thị bằng led 7 đoạn.

BÀI BÁO CÁO THỰC TẬP-thiết kế bộ nguồn DC có đầu ra thay đổi hiển thị bằng led 7 đoạn.

Ngày tải lên : 02/06/2015, 17:34
... IC LM7805 ………………………………………………… 11 2.3– IC LM7905 ………………………………………………… 11 2.4– IC LM3 17 ………………………………………………… 11 2.5– IC 71 07 ………………………………………………… 11 2.6– diode ………………………………………………… 11 2 .7 led 7thanh ... quang ( Light Emiting Diode : LED ) Diode phát phang Diode phát ánh sáng phân cực thuận, điện áp làm việc LED khoảng 1 ,7 => 2,2V dòng qua Led khoảng từ 5mA đến 2 0mA Led sử dụng để làm đèn báo nguồn, ... nguồn đối xứng 5v để nuôi IC71 07 Điện áp từ IC LM3 17 đưa vào chân tín hiệu vào IC 71 07 để chuyển đổi tín hiệu điện (tương tự) sang số (ADC) hiển thị giá trị điện áp đo led 7thanh HÀ NỘI 2012 Page...
  • 33
  • 462
  • 1

Xem thêm