Ứng dụng của FPGA tại Việt Nam

64 1.6K 13
Ứng dụng của FPGA tại Việt Nam

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Tài liệu tham khảo chuyên ngành viễn thông Ứng dụng của FPGA tại Việt Nam

ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệpMỤC LỤCBẢNG KÝ HIỆU VIẾT TẮTKý Hiệu Diễn GiảiASIC Application Specific Integrated CircuitADC Analog to Digital ConverterALU Arithmetic Logic UnitASM Auto Senquencing Memory CPLD Complex Programmable Logic DeviceCPU Central Processing Unit DSP Digital Signal ProcessingDAC Digital to Analog ConverterDPU Data Processing Unit FIR Finite Impulse Response FPGA Field Programmable Gate ArrayHDL Hardware Description Language IC Integrated Circuit IEEE Institute of Electrical and Electronics EngineersJTAG Joint Test Action GroupLED Light Emitting DiodeLUT Look Up TableLMS Least Mean SquarePAL Programmable Array LogicPLA Programmable Logic ArrayNguyễn Anh Cường1 ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệpPCI Peripheral Component InterconnectPE Process ElementRAM Random Access MemoryROM Read Only MemoryRS232 Recommended Standard 232SoC System on chipSRAM Static Random Access MemorySPLD Simple Programable Logic Device USB Universal Serial BusVHDLVery High Speed Itergrated Circuit Hardware Description Language VHSIC Very High Speed Itergrated CircuitNguyễn Anh Cường2 ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệpLỜI MỞ ĐẦUNgày nay, xử lý tín hiệu và lọc số là một ngành phát triển hết sức mạnh mẽ, các công nghệ, thuật toán ngày càng được đổi mới và tối ưu hoá nhằm nâng cao tính hiệu quả của nó. Tuy nhiên, công nghệ phát triển càng cao thì đòi hỏi phần cứng phải đủ nhanh để xử lý. Các mạch lọc tương tự trước đây không còn đủ khả năng để đáp ứng yêu cầu đó nữa. Vì vậy, FPGA đã ra đời như một giải pháp cung cấp môi trường làm việc hiệu quả cho các ứng dụng thực tế. Tính linh động cao trong quá trình thiết kế cho phép FPGA giải quyết những bài toán phức tạp mà trước kia chỉ thực hiện nhờ phần mềm máy tính. Ngoài ra, nhờ mật độ cổng logic cao, FPGA được ứng dụng cho những bài toán đòi hỏi khối lượng tính toán lớn và dùng trong các hệ thống làm việc theo thời gian thực. Những ứng dụng trong thực tế của FPGA rất rộng rãi, bao gồm: các hệ thống hàng không, vũ trụ, quốc phòng, tiền thiết kế mẫu ASIC(ASIC prototyping), các hệ thống điều khiển trực quan, phân tích nhận dạng ảnh, nhận dạng tiếng nói, mật mã học, mô hình phần cứng máy tính .Đặc biệt, với khả năng tái lập trình, người sử dụng có thể thay đổi lại thiết kế của mình chỉ trong vài giờ.Chính vì tính thiết thực mà FPGA đã mang lại, em quyết định chọn FPGA làm hướng nghiên cứu của mình. Trong bài khoá luận này, em xin trình bày một ứng dụng cụ thể của FPGA trong xử lý tín hiệu số đó là “Thực hiện bộ lọc FIR thích nghi dùng thuật toán LMS”. Đề tài được thực hiện tại phòng thí nghiệm mục tiêu “Các hệ tích hợp thông minh ( SIS LAB)” trực thuộc trường Đại học Công nghệ - ĐHQG HN.Em xin chân thành cảm ơn các thầy cô giáo đặc biệt là PGS.TS Trần Quang Vinh và Th.S Nguyễn Kiêm Hùng đã tận tình hướng dẫn và giúp đỡ em để hoàn thành bản luận văn này một cách tốt đẹp. Do thời gian và kiến thức có hạn nên công trình này không thể tránh khỏi sai sót, vì vậy em rất mong nhận được các ý kiến đóng góp của các thầy cô và các bạn.Em xin chân thành cảm ơn ! Hà Nội, Ngày 27 Tháng 3 Năm 2008Nguyễn Anh CườngNguyễn Anh Cường3 ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệpChương 1TỔNG QUAN VỀ FPGA VÀ NGÔN NGỮ VHDL1.1. TỔNG QUAN VỀ FPGA1.1.1. Lịch sử ra đời của FPGAFPGA được thiết kế đầu tiên bởi Ross Freeman, người sáng lập công ty Xilinx vào năm 1984, kiến trúc mới của FPGA cho phép tích hợp số lượng tương đối lớn các phần tử bán dẫn vào 1 vi mạch so với kiến trúc trước đó là CPLD. FPGA có khả năng chứa tới từ 100.000 đến hàng vài tỷ cổng logic, trong khi CPLD chỉ chứa từ 10.000 đến 100.000 cổng logic; con số này đối với PAL, PLA còn thấp hơn nữa chỉ đạt vài nghìn đến 10.000.CPLD được cấu trúc từ số lượng nhất định các khối SPLD (Simple programable logic device) thuật ngữ chung chỉ PAL, PLA. SPLD thường là một mảng logic AND/OR lập trình được có kích thước xác định và chứa một số lượng hạn chế các phần tử nhớ đồng bộ (clocked register). Cấu trúc này hạn chế khả năng thực hiện những hàm phức tạp và thông thường hiệu suất làm việc của vi mạch phụ thuộc vào cấu trúc cụ thể của vi mạch hơn là vào yêu cầu bài toán.Kiến trúc của FPGA là kiến trúc mảng các khối logic, mỗi khối này nhỏ hơn nhiều nếu đem so sánh với một khối SPLD, ưu điểm này giúp FPGA có thể chứa nhiều hơn các phần tử logic và phát huy tối đa khả năng lập trình của các phần tử logic và hệ thống mạch kết nối, để đạt được mục đích này thì kiến trúc của FPGA phức tạp hơn nhiều so với CPLD.Một điểm khác biệt nữa với CPLD là trong những FPGA hiện đại được tích hợp nhiều bộ logic số học đã được tối ưu hóa, hỗ trợ RAM, ROM, tốc độ cao, hay các bộ nhân, cộng dùng cho những ứng dụng xử lý tín hiệu số.Ngoài khả năng cấu trúc lại vi mạch ở mức toàn cục, một số FPGA hiện đại còn hỗ trợ cấu trúc lại ở mức cục bộ, tức là khả năng cấu trúc lại một bộ phận riêng lẻ trong khi vẫn đảm bảo hoạt động bình thường cho các bộ phận khácNguyễn Anh Cường4 ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệp1.1.2. Khái niệm cơ bản và cấu trúc của FPGAFPGA (Field-programmable gate array) là vi mạch dùng cấu trúc mảng phần tử logic mà người dùng có thể lập trình được. Chữ field ở đây muốn chỉ đến khả năng tái lập trình “bên ngoài” tuỳ theo mục đích ứng dụng của người sử dụng, không phụ thuộc vào dây chuyền sản xuất phức tạp của nhà máy bán dẫn. Kiến trúc tổng quan về FPGA được mô tả như hình 1:Hình 1: Kiến trúc tổng quan của FPGANguyễn Anh Cường5 ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệpVi mạch FPGA được cấu thành từ các bộ phận:• Các khối logic cơ bản lập trình được (logic block)Phần tử chính của FPGA là các khối logic (logic block). Khối logic được cấu thành từ LUT và một phần tử nhớ đồng bộ flip-flop. LUT (Look up table) là khối logic có thể thực hiện bất kì hàm logic nào từ 4 đầu vào, kết quả của hàm này tùy vào mục đích mà gửi ra ngoài khối logic trực tiếp hay thông qua phần tử nhớ flip-flop.Khối logic được mô tả như hình 2:Hình 2: Khối logic lập trình được của FPGATrong tài liệu hướng dẫn của các dòng FPGA của Xilinx còn sử dụng khái niệm SLICE, 1 Slice gồm 4 khối logic tạo thành, số lượng các Slices thay đổi từ vài nghìn đến vài chục nghìn tùy theo loại FPGA.• Hệ thống mạch liên kết lập trình được Mạng liên kết trong FPGA được cấu thành từ các đường kết nối theo hai phương ngang và đứng, tùy theo từng loại FPGA mà các đường kết nối được chia thành các nhóm khác nhau, ví dụ trong XC4000 của Xilinx có 3 loại kết nối: ngắn, dài và rất dài. Các đường kết nối được nối với nhau thông qua các khối chuyển mạch lập trình được (programable switch), trong một khối chuyển mạch chứa một số lượng nút chuyển lập trình được, đảm bảo cho các dạng liên kết phức tạp khác nhau.• Khối vào/ra (IO Pads) Khối vào/ra nhiều hay ít là tuỳ thuộc vào từng loại FPGA. Chúng có thể được kết nối với các thiết bị bên ngoài như LED, USB, RS232, RAM tuỳ theo mục đích sử dụng• Các phần tử tích hợp sẵnNgoài các khối logic, tùy theo các loại FPGA khác nhau mà có các phần tử tích hợp thêm khác nhau, ví dụ để thiết kế những ứng dụng SoC, trong dòng Virtex 4, 5 của Xilinx có chứa nhân xử lý PowerPC, hay cho những ứng dụng xử Nguyễn Anh Cường6 ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệplý tín hiệu số trong FPGA được tích hợp các DSP Slice là bộ nhân, cộng tốc độ cao, thực hiện hàm A*B+C, ví dụ dòng Virtex của Xilinx chứa từ vài chục đến hàng trăm DSP slices với A, B, C 18-bit.1.1.3. Các ứng dụng của FPGAỨng dụng của FPGA bao gồm: xử lý tín hiệu số, các hệ thống hàng không, vũ trụ, quốc phòng, tiền thiết kế mẫu ASIC(ASIC prototyping), các hệ thống điều khiển trực quan, phân tích nhận dạng ảnh, nhận dạng tiếng nói, mật mã học, mô hình phần cứng máy tính .Do tính linh động cao trong quá trình thiết kế cho phép FPGA giải quyết lớp những bài toán phức tạp mà trước kia chỉ thực hiện nhờ phần mềm máy tính, ngoài ra nhờ mật độ cổng logic lớn FPGA được ứng dụng cho những bài toán đòi hỏi khối lượng tính toán lớn và dùng trong các hệ thống làm việc theo thời gian thực.1.2. TỔNG QUAN VỀ NGÔN NGỮ VHDLThiết kế hay lập trình cho FPGA được thực hiện chủ yếu bằng các ngôn ngữ mô tả phần cứng HDL như VHDL, Verilog .các hãng sản xuất FPGA lớn như Xilinx, Altera thường cung cấp các gói phần mềm và thiết bị phụ trợ cho quá trình thiết kế, cũng có một số các hãng thứ ba cung cấp các gói phần mềm kiểu này như Synopsys, Synplify . Các gói phần mềm này có khả năng thực hiện tất cả các bước của toàn bộ quy trình thiết kế IC chuẩn với đầu vào là mã thiết kế trên HDL (còn gọi là mã RTL).Trong bài Luận văn này, có sử dụng ngôn ngữ mô tả phần cứng VHDL, do đó ta chỉ tập chung tìm hiểu về ngôn ngữ VHDL.1.2.1. Giới thiệu về ngôn ngữ mô tả phần cứng VHDLVHDL là ngôn ngữ mô tả phần cứng cho các mạch tích hợp tốc độ rất cao, là một loại ngôn ngữ mô tả phần cứng được phát triển dùng cho trương trình VHSIC( Very High Speed Itergrated Circuit) của bộ quốc phòng Mỹ. Mục tiêu của việc phát triển VHDL là có được một ngôn ngữ mô phỏng phần cứng tiêu chuẩn và thống nhất cho phép thử nghiệm các hệ thống số nhanh hơn cũng như cho phép dễ dàng đưa các hệ thống đó vào ứng dụng trong thực tế. Ngôn ngữ VHDL được ba công ty Intermetics, IBM và Texas Instruments bắt đầu nghiên cứu phát triển vào tháng 7 năm 1983. Phiên bản đầu tiên được công bố vào tháng 8-1985. Sau đó VHDL được đề xuất để tổ chức Nguyễn Anh Cường7 ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệpIEEE xem xét thành một tiêu chuẩn chung. Năm 1987 đã đưa ra tiêu chuẩn về VHDL( tiêu chuẩn IEEE-1076-1987). VHDL được phát triển để giải quyết các khó khăn trong việc phát triển, thay đổi và lập tài liệu cho các hệ thống số. Như ta đã biết, một hệ thống số có rất nhiều tài liệu mô tả. Để có thể vận hành bảo trì sửa chữa một hệ thống ta cần tìm hiểu kỹ lưỡng tài liệu đó. Với một ngôn ngữ mô phỏng phần cứng tốt việc xem xét các tài liệu mô tả trở nên dễ dàng hơn vì bộ tài liệu đó có thể được thực thi để mô phỏng hoạt động của hệ thống. Như thế ta có thể xem xét toàn bộ các phần tử của hệ thống hoạt động trong một mô hình thống nhất. VHDL được phát triển như một ngôn ngữ độc lập không gắn với bất kỳ một phương pháp thiết kế, một bộ mô tả hay công nghệ phần cứng nào. Người thiết kế có thể tự do lựa chọn công nghệ, phương pháp thiết kế trong khi chỉ sử dụng một ngôn ngữ duy nhất. Và khi đem so sánh với các ngôn ngữ mô phỏng phần cứng khác đã kể ra ở trên ta thấy VHDL có một số ưu điểm hơn hẳn các ngôn ngữ khác: - Thứ nhất là tính công cộng: VHDL được phát triển dưới sự bảo trợ của chính phủ Mỹ và hiện nay là một tiêu chuẩn của IEEE. VHDL được sự hỗ trợ của nhiều nhà sản xuất thiết bị cũng như nhiều nhà cung cấp công cụ thiết kế mô phỏng hệ thống. - Thứ hai là khả năng hỗ trợ nhiều công nghệ và phương pháp thiết kế. VHDL cho phép thiết kế bằng nhiều phương pháp, ví dụ phương pháp thiết kế từ trên xuống, hay từ dưới lên dựa vào các thư viện sẵn có. VHDL cũng hỗ trợ cho nhiều loại công cụ xây dựng mạch như sử dụng công nghệ đồng bộ hay không đồng bộ, sử dụng ma trận lập trình được hay sử dụng mảng ngẫu nhiên. - Thứ ba là tính độc lập với công nghệ: VHDL hoàn toàn độc lập với công nghệ chế tạo phần cứng. Một mô tả hệ thống dùng VHDL thiết kế ở mức cổng có thể được chuyển thành các bản tổng hợp mạch khác nhau tuỳ thuộc công nghệ chế tạo phần cứng mới ra đời nó có thể được áp dụng ngay cho các hệ thống đã thiết kế . - Thứ tư là khả năng mô tả mở rộng: VHDL cho phép mô tả hoạt động của phần cứng từ mức hệ thống số cho đến mức cổng. VHDL có khả năng mô tả hoạt động của hệ thống trên nhiều mức nhưng chỉ sử dụng một cú pháp chặt chẽ thống nhất cho mọi mức. Như thế ta có thể mô phỏng một bản thiết kế bao gồm cả các hệ con được mô tả chi tiết. Nguyễn Anh Cường8 ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệp- Thứ năm là khả năng trao đổi kết quả: Vì VHDL là một tiêu chuẩn được chấp nhận, nên một mô hình VHDL có thể chạy trên mọi bộ mô tả đáp ứng được tiêu chuẩn VHDL. Các kết quả mô tả hệ thống có thể được trao đổi giữa các nhà thiết kế sử dụng công cụ thiết kế khác nhau nhưng cùng tuân theo tiêu chuẩn VHDL. Cũng như một nhóm thiết kế có thể trao đổi mô tả mức cao của các hệ thống con trong một hệ thống lớn (trong đó các hệ con đó được thiết kế độc lập). - Thứ sáu là khả năng hỗ trợ thiết kế mức lớn và khả năng sử dụng lại các thiết kế: VHDL được phát triển như một ngôn ngữ lập trình bậc cao, vì vậy nó có thể được sử dụng để thiết kế một hệ thống lớn với sự tham gia của một nhóm nhiều người. Bên trong ngôn ngữ VHDL có nhiều tính năng hỗ trợ việc quản lý, thử nghiệm và chia sẻ thiết kế. Và nó cũng cho phép dùng lại các phần đã có sẵn. 1.2.2. Cấu trúc một mô hình hệ thống mô tả bằng ngôn ngữ VHDLMục đích của phần này sẽ nhằm giới thiệu sơ qua về cấu trúc khung cơ bản của VHDL khi mô tả cho một mô hình thiết kế thực. Thông thường một mô hình VHDL bao gồm ba phần: thực thể, kiến trúc và các cấu hình. Đôi khi ta xử dụng các gói (packages) và mô hình kiểm tra hoạt động của hệ thống (testbench). + Thực thể (entity): Khai báo thực thể trong VHDL là phần định nghĩa các chỉ tiêu phía ngoài của một phần tử hay một hệ thống. Thực chất của việc khai báo thực thể chính là khai báo giao diện của hệ thống với bên ngoài. Ta có thể có tất cả các thông tin để kết nối mạch vào mạch khác hoạc thiết kế tác nhân đầu vào phục vụ cho mục đích thử nghiệm. Tuy nhiên hoạt động thật sự của mạch không nằm ở phần khai báo này + Kiến trúc (Architecture): Phần thứ 2 trong mô hình VHDL là khai báo kiến trúc. Mỗi một khai báo thực thể đều phải đi kèm với ít nhất một kiến trúc tương ứng. VHDL cho phép tạo ra hơn một kiến trúc cho một thực thể. Phần khai báo kiến trúc có thể bao gồm các khai báo về các tín hiệu bên trong, các phần tử bên trong hệ thống, hay các hàm và thủ tục mô tả hoạt động của hệ thống. Tên của kiến trúc là nhãn được đặt tuỳ theo người sử dụng. Có hai cách mô tả kiến trúc của một phần tử ( hoặc hệ thống) đó là mô hình hoạt động (Behaviour) hay mô tả theo mô hình cấu trúc (Structure). Tuy nhiên một hệ thống có thể bao gồm cả mô tả theo mô hình hoạt động và mô tả theo mô hình cấu trúc. + Mô tả kiến trúc theo mô hình hoạt động: Mô hình hoạt động mô tả các hoạt động của hệ thống ( hệ thống đáp ứng với các tín hiệu vào như thế nào và đưa ra kết quả Nguyễn Anh Cường9 ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệpgì ra đầu ra) dưới dạng các cấu trúc ngôn ngữ lập trình bậc cao. Cấu trúc đó có thể là PROCESS, WAIT, IF, CASE, FOR-LOOP… + Mô tả kiến trúc theo mô hình cấu trúc: Mô hình cấu trúc của một phần tử (hoặc hệ thống) có thể bao gồm nhiều cấp cấu trúc bắt đầu từ một cổng logic đơn giản đến xây dựng mô tả cho một hệ thống hoàn thiện. Thực chất của việc mô tả theo mô hình cấu trúc là mô tả các phần tử con bên trong hệ thống và sự kết nối của các phần tử con đó. Như với ví dụ mô tả mô hình cấu trúc một flip-flop RS gồm hai cổng NAND có thể mô tả cổng NAND được định nghĩa tương tự như ví dụ với cổng NOT, sau đó mô tả sơ đồ móc nối các phần tử NAND tạo thành trigơ RS + Cấu trúc Process: Process là khối cơ bản của việc mô tả theo hoạt động. Process được xét đến như là một chuỗi các hành động đơn trong suốt quá trình dịch. Cấu trúc tổng quát:+ Môi trường kiểm tra (testbench): Một trong các nhiệm vụ rất quan trọng là kiểm tra bản mô tả thiết kế. Kiểm tra một mô hình VHDL được thực hiện bằng cách quan sát hoạt động của nó trong khi mô phỏng và các giá trị thu được có thể đem so sánh với yêu cầu thiết kế. Môi trường kiểm tra có thể hiểu như một mạch kiểm tra ảo. Môi trường kiểm tra sinh ra các tác động lên bản thiết kế và cho phép quan sát hoặc so sánh kết quả hoạt động của bản mô tả thiết kế. Thông thường thì các bản mô tả đều cung cấp chương trình thử. Nhưng ta cũng có thể tự xây dựng chương trình thử (testbench). Mạch thử thực chất là sự kết hợp của tổng hợp nhiều thành phần. Nó gồm ba thành phần: mô hình VHDL đã qua kiểm tra, nguồn dữ liệu và bộ quan sát. Hoạt động của mô hình VHDL được kích thích bởi các nguồn dữ liệu và kiểm tra tính đúng đắn thông qua bộ quan sát. Chương 2Nguyễn Anh Cường10[tên nhãn]: process[(danh sách các yếu tố kích thích hoạt động)][khai báo các biến]begin[các câu lệnh]end process; [...]... của tín hiệu lại được sử dụng theo cách gián tiếp, trong đó sai số lối ra của mạch lọc tương quan với các mẫu của tín hiệu vào của mạch lọc theo một số cách và sử dụng kết quả của phương trình đệ quy để điều chỉnh các hệ số của mạch theo kiểu lặp Sử dụng phương pháp lặp có thể đưa đến các lời giải thích nghi có khả năng tự hiệu chỉnh Có nghĩa là nếu các tính chất thống kê của tín hiệu thay đổi đối... TRUYỀN THỐNG Bộ lọc FIR là bộ lọc có đáp ứng xung chiều dài hữu hạn, tức là đáp ứng xung chỉ khác không trong một khoảng có chiều dài hữu hạn N (từ 0 đến N-1) Bộ lọc FIR với bậc của bộ lọc là N được biểu diễn như hình 3: Hình 3: Cấu trúc của bộ lọc FIR truyền thống Trong đó: x[n]: là tín hiệu lối vào của mạch y[n]: là tín hiệu lối ra của mạch h[n]: là đáp ứng xung của mạch Lối ra y[n] và lối vào x[n] liên... TẢ PHẦN CỨNG CỦA KIT VIRTEX-II PRO Phần cứng của kit Virtex-II Pro bao gồm: • FPGA Spartan-II dùng để tạo giao tiếp PCI hoặc USB • 2 LED trạng thái hiển thị 3 màu:cam, đỏ, vàng • Giắc cắm cho mạch nạp JTAG • 2 kênh ADC độc lập(ADC 14 bit) với tốc độ lấy mẫu tối đa là 105Mhz • 2 kênh DAC độc lập(DAC 14 bit) với tốc độ biến đổi tối đa là 160Mhz • 2 rãnh ZBT SRAM độc lập với bộ nhớ 512K x 32 • FPGA virtex-II... hình 15: Máy phát ADC FPGA DAC Dao động ký Hình 15: Lưu đồ thực hiện bộ lọc FIR truyền thống Sau khi qua biến đổi ADC, dữ liệu được biểu diễn dưới dạng số bù hai sẽ được đưa vào FPGA để xử lý FPGA có nhiệm vụ thực hiện thuật toán lọc theo yêu cầu của người lập trình Sơ đồ thực hiện thuật toán đối với bộ lọc FIR được trình bày như trong hình 3 của chương 2 Trong đó, lối vào x[n] của bộ lọc chính là các... công cụ fdatool trong Matlab Đáp ứng tần số tính toán bằng Matlab được mô tả như hình 16: Hình 16: Đáp ứng tần số của mạch lọc FIR 35 Nguyễn Anh Cường ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệp Kết quả thu được khi thực hiện trên chíp FPGA: • Tín hiệu bắt đầu suy giảm tại tần số 700Mhz, được cho bởi hình 17: Hình 17: Tín hiệu bắt đầu suy giảm • Tín hiệu bị triệt tiêu tại tần số 1237Hz, được cho bởi... nhân và N-1 phép cộng thì mới tính được giá trị của lối ra Như vậy, bộ lọc FIR có cấu trúc như trên có nhược điểm là khả năng đáp ứng chậm, các mẫu lối ra không được liên tục mà sau một khoảng thời gian tính toán xong các phép nhân và phép cộng mới được xuất ra Để khắc phục nhược điểm đó, ta sử dụng kiến trúc systolic array để nâng cao khả năng đáp ứng của mạch 11 Nguyễn Anh Cường ĐH Công Nghệ- ĐHQG... của nó là nghiệm của phương trình Wiener-Hopf, nghĩa là nghiệm tối ưu ở (3.22) 20 Nguyễn Anh Cường ĐH Công Nghệ- ĐHQG Hà Nội Khoá luận tốt nghiệp 3.4 CÁC THUẬT TOÁN THÍCH NGHI VÀ ỨNG DỤNG Trong phần này, chúng ta nghiên cứu chủ yếu thuật toán toàn phương trung bình tối thiểu LMS Thuật toán này được áp dụng rộng rãi trong xử lý số thích nghi và thống kê do tính chất bền vững và đơn giản của nó Nhờ thuật... nhanh nhất Đây là phương pháp lặp để tìm táp trọng số tương ứng với điểm cực tiểu của mặt sai số của mạch lọc Wiener FIR Trong phương pháp này, hàm phí tổn cần cực tiểu hoá được giả thiết là phân kỳ và xuất phát từ một đểm bất kỳ trên mặt sai số, ta lấy một bước nhỏ theo hướng mà trong đó hàm phí tổn giảm nhanh nhất Tại điểm đó, hàm phí tổn của mạch lọc Wiener sẽ có giá trị tối ưu x[n] z-1 x wo[n]]... nhiều phép lặp để hội tụ tới wo Thuật toán lặp này thường xuyên được sử dụng trong các mạch lọc thích nghi Phương pháp giảm bước nhanh nhất được thực hiện theo các bước sau: 1 Xuất phát từ các thông số dự đoán ban đầu mà các giá trị tối ưu của nó tìm được để cực tiểu hoá hàm phí tổn 2 Tìm gradient của hàm phí tổn ứng với các thông số tại điểm xuất phát 3 Cập nhật các thông số bằng cách lấy một bước theo... được trong bước 2 Điều đó tương ứng với bước giảm nhanh nhất trong hàm phí tổn Ngoài ra, kích thước của bước được chọn tỉ lệ với kích thước của vector gradient 4 Lặp lại các bước 2 và 3 cho đến khi không thể thay đổi được nữa trong các thông số Theo các thủ tục trên, nếu w(k) là vector táp trọng số tại phép lặp thứ k, thì phương trình truy hồi sau đây có thể được sử dụng để cập nhật w(k): w(k+1) = . A*B+C, ví dụ dòng Virtex của Xilinx chứa từ vài chục đến hàng trăm DSP slices với A, B, C 18-bit.1.1.3. Các ứng dụng của FPGA ng dụng của FPGA bao gồm: xử lý. thực mà FPGA đã mang lại, em quyết định chọn FPGA làm hướng nghiên cứu của mình. Trong bài khoá luận này, em xin trình bày một ứng dụng cụ thể của FPGA trong

Ngày đăng: 20/11/2012, 11:38

Hình ảnh liên quan

BẢNG KÝ HIỆU VIẾT TẮT - Ứng dụng của FPGA tại Việt Nam
BẢNG KÝ HIỆU VIẾT TẮT Xem tại trang 1 của tài liệu.
BẢNG KÝ HIỆU VIẾT TẮT - Ứng dụng của FPGA tại Việt Nam
BẢNG KÝ HIỆU VIẾT TẮT Xem tại trang 1 của tài liệu.
Hình 1: Kiến trúc tổng quan của FPGA - Ứng dụng của FPGA tại Việt Nam

Hình 1.

Kiến trúc tổng quan của FPGA Xem tại trang 5 của tài liệu.
Khối logic được mô tả như hình 2: - Ứng dụng của FPGA tại Việt Nam

h.

ối logic được mô tả như hình 2: Xem tại trang 6 của tài liệu.
Hình 3: Cấu trúc của bộ lọc FIR truyền thống - Ứng dụng của FPGA tại Việt Nam

Hình 3.

Cấu trúc của bộ lọc FIR truyền thống Xem tại trang 11 của tài liệu.
Trong hình 4, mô tả kiến trúc Systolic array một chiều, dữ liệu chuyển động theo một hướng  - Ứng dụng của FPGA tại Việt Nam

rong.

hình 4, mô tả kiến trúc Systolic array một chiều, dữ liệu chuyển động theo một hướng Xem tại trang 12 của tài liệu.
Cấu trúc của một PE của bộ lọc FIR SYSTOLIC được trình bày như trong hình 6 - Ứng dụng của FPGA tại Việt Nam

u.

trúc của một PE của bộ lọc FIR SYSTOLIC được trình bày như trong hình 6 Xem tại trang 13 của tài liệu.
Hình 5: Kiến trúc systolic array hai chiều - Ứng dụng của FPGA tại Việt Nam

Hình 5.

Kiến trúc systolic array hai chiều Xem tại trang 13 của tài liệu.
Mô hình này về ý tưởng vẫn giống mô hình trước, bao gồm các thanh ghi chốt, bộ cộng, bộ nhân của mô hình trước, tuy nhiên, có sự thay đổi vị trí của các thanh ghi chốt,  trước bộ nhân và bộ cộng ta chèn thêm một thanh ghi chốt vào để chia nhỏ đường  truyề - Ứng dụng của FPGA tại Việt Nam

h.

ình này về ý tưởng vẫn giống mô hình trước, bao gồm các thanh ghi chốt, bộ cộng, bộ nhân của mô hình trước, tuy nhiên, có sự thay đổi vị trí của các thanh ghi chốt, trước bộ nhân và bộ cộng ta chèn thêm một thanh ghi chốt vào để chia nhỏ đường truyề Xem tại trang 14 của tài liệu.
Hình 7: Cấu trúc bộ lọc FIR systolic bậ cN - Ứng dụng của FPGA tại Việt Nam

Hình 7.

Cấu trúc bộ lọc FIR systolic bậ cN Xem tại trang 14 của tài liệu.
Hình 9: Giản đồ khối của mạch lọc thích nghiy[n] - Ứng dụng của FPGA tại Việt Nam

Hình 9.

Giản đồ khối của mạch lọc thích nghiy[n] Xem tại trang 15 của tài liệu.
Cấu trúc thường được sử dụng trong mạch lọc thích nghi được mô tả như hình 10: - Ứng dụng của FPGA tại Việt Nam

u.

trúc thường được sử dụng trong mạch lọc thích nghi được mô tả như hình 10: Xem tại trang 17 của tài liệu.
Hình 11: Mạch lọc Wiener FIR - Ứng dụng của FPGA tại Việt Nam

Hình 11.

Mạch lọc Wiener FIR Xem tại trang 21 của tài liệu.
Hình 12: Mạch lọc FIR thích nghi dùng thuật toán LMS - Ứng dụng của FPGA tại Việt Nam

Hình 12.

Mạch lọc FIR thích nghi dùng thuật toán LMS Xem tại trang 25 của tài liệu.
Hình 13:Số bù hai được biểu diễn bởi 4 bit - Ứng dụng của FPGA tại Việt Nam

Hình 13.

Số bù hai được biểu diễn bởi 4 bit Xem tại trang 29 của tài liệu.
Tổng thể về kit virtex-II Pro được mô tả như hình 14: - Ứng dụng của FPGA tại Việt Nam

ng.

thể về kit virtex-II Pro được mô tả như hình 14: Xem tại trang 34 của tài liệu.
Hình 15: Lưu đồ thực hiện bộ lọc FIR truyền thống - Ứng dụng của FPGA tại Việt Nam

Hình 15.

Lưu đồ thực hiện bộ lọc FIR truyền thống Xem tại trang 35 của tài liệu.
Hình 16: Đáp ứng tần số của mạch lọc FIR - Ứng dụng của FPGA tại Việt Nam

Hình 16.

Đáp ứng tần số của mạch lọc FIR Xem tại trang 35 của tài liệu.
Hình 17: Tín hiệu bắt đầu suy giảm - Ứng dụng của FPGA tại Việt Nam

Hình 17.

Tín hiệu bắt đầu suy giảm Xem tại trang 36 của tài liệu.
• Tín hiệu bắt đầu suy giảm tại tần số 700Mhz, được cho bởi hình 17: - Ứng dụng của FPGA tại Việt Nam

n.

hiệu bắt đầu suy giảm tại tần số 700Mhz, được cho bởi hình 17: Xem tại trang 36 của tài liệu.
Lưu đồ cho việc khử nhiễu 50HZ được mô tả như hình 19: - Ứng dụng của FPGA tại Việt Nam

u.

đồ cho việc khử nhiễu 50HZ được mô tả như hình 19: Xem tại trang 37 của tài liệu.
Hình 19: Mô hình khử nhiễu 50Hz Trong đó: - Ứng dụng của FPGA tại Việt Nam

Hình 19.

Mô hình khử nhiễu 50Hz Trong đó: Xem tại trang 38 của tài liệu.
Hình 21: Tín hiệu thu được sau khi lọc - Ứng dụng của FPGA tại Việt Nam

Hình 21.

Tín hiệu thu được sau khi lọc Xem tại trang 39 của tài liệu.

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan