Thử nghiệm thiết kế dao động ký số trên FPGA

76 739 4
Thử nghiệm thiết kế dao động ký số trên FPGA

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Thử nghiệm thiết kế dao động ký số trên FPGA

Tóm Tắt Nội Dung Khóa Luận Máy hiển thị sóng hay cịn gọi máy dao động số có nhớ (DSO) thiết bị thiếu đo lường điều khiển Cùng với phát triển khoa khọc cơng nghệ mà ngày có máy sóng có tính phong phú kích thước giảm xuống đáng kể, bớt cồng kềnh đặc biệt giá thành lại hạ xuống nhiều Ngày công nghệ sản xuất FPGA phát triển nên khóa luận em xin trình bày cách thiết kế máy dao động số có nhớ dựa cơng nghệ FPGA Khóa luận chia làm hai phần: Phần lý thuyết em xin trình bày cách tổng quan công nghệ FPGA, giới thiệu sơ qua bước thực FPGA Phần thứ hai em xin trình bày loại máy dao động số có nhớ, cấu tạo nguyên tắc hoạt động máy dao động tương tự máy dao động số có nhớ Cuối phần em trình bày bước thiết kế máy dao động số FPGA số kết thu i Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA Mục lục CÁC TỪ VIẾT TẮT iv Mở đầu Chương TỔNG QUAN VỀ FPGA 1.1 FPGA LÀ GÌ? 1.2 LỊCH SỬ RA ĐỜI FPGA 1.3 ỨNG DỤNG 1.4 CẤU TRÚC MỘT FPGA 1.4.1 Khối logic FPGA 1.4.2 Các phần tử tích hợp sẵn 1.4.3 Quy trình thiết kế FPGA tổng quát 1.4.3.1 Mô tả ban đầu thiết kế 1.4.3.2 Thực thi 1.4.3.3 Quá trình Nạp (download) lập trình (program) 10 1.5 TỔNG QUAN VỀ VHDL 10 1.5.1 Giới thiệu ngôn ngữ mô tả phần cứng VHDL 10 1.5.2 Cấu trúc mơ hình hệ thống mơ tả VHDL 12 1.5.2.1 Thực (entity) mơ hình 12 1.5.2.2 Kiến trúc mơ hình 13 TỔNG QUAN VỀ OSCILLOSCOP 14 2.1 DAO ĐỘNG KÍ ĐIỆN TỬ 14 2.2 PHÂN LOẠI OSCILLOSCOP 15 2.3 CẤU TRÚC CỦA OSCILLOSCOP ĐIỆN TỬ TƯƠNG TỰ 16 2.3 CẤU TRÚC CỦA OSCILLOSCOP ĐIỆN TỬ SỐ 17 CÁC BƯỚC THIẾT KẾ MỘT OSCILLOSCOP SỐ CÓ NHỚ 22 3.1 CÁC THÀNH PHẦN TRONG THIẾT KẾ 23 3.1.1.Bộ nhớ 23 3.1.2 Bộ biến đổi tương tự - số ADC 25 3.1.4 Điều khiển logic 28 3.2 THIẾT KẾ CHI TIẾT 32 3.2.1 Cấu hình để nạp vào FPGA 32 3.2.2 Chế độ tiền trigger 33 3.2.3 Dò điểm trigger 34 3.3.4 Bộ tạo xung 36 3.3.6 Giao diện logic cổng song song 37 3.3.7 Điều khiển ADC 38 Chương 39 CHƯƠNG TRÌNH VÀ MƠ PHỎNG TRÊN KIT DE2 39 4.1 TỔNG QUAN VỀ KIT DE2 VÀ CHIP CYCLONE II 39 4.2 CHƯƠNG TRÌNH VÀ MÔ PHỎNG 44 4.2.1 Chương trình điểu khiển DSO 44 4.2.2 Chương trình điểu khiển logic cổng song song 46 Nguyễn Văn Thơng K49ĐB ii Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA 4.2.3 Chương trình điểu khiển FIFO 47 4.2.4 Chương trình tạo dạng xung chia theo tỉ lệ 1/2, 1/4, 1/8, 1/16, 1/32 49 4.2.4 Chương trình dị điểm trigger 50 Kết luận 52 PHỤ LỤC 53 Tài liệu tham khảo 70 Nguyễn Văn Thông K49ĐB iii Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA CÁC TỪ VIẾT TẮT ADC : Analog -to- Digital Converter ASIC : Application-Specific Integrated Circuit CPLD : Complex Programmable Logic Device DAC : Digital-to-Analog Converter DRAM : Dynamic Random Access Memory DSO : Digital Storage Oscilloscop DSP : Digital Signal Processing E2 : EEPROM EEPROM : Electrically Erasable Programmable Read-Only Memory FIFO : First In First Out FPGA : Field-Programmable Gate Array HDL : Hardware Description Language I/O : Input/Output LAB : Logic Array Block LE : logic Element LUT : Look Up Table MAC : Multication and accumulation PC : Personal Computer PLA : Programmable Logic Array RAM : Random Access Memory ROM : Read-Only Memory SPLD : Simple Programable Devices SRAM : Static Random Access Memory VHDL : VHSIC hardware description language VHSIC : Very High Speed Itergrated Circuit Nguyễn Văn Thông K49ĐB iv Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA WCLK : Write Clock WE : Write Enable WRST : Write Reset Nguyễn Văn Thông K49ĐB v Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA Lời cảm ơn Lời em xin gửi lời cảm ơn đến toàn thể thầy, cô giáo khoa Điện tử Viễn thông trường Đại học Công Nghệ- ĐHQG Hà Nội, người tận tình dạy dỗ, bảo em suốt bốn năm học vừa qua nhà trường Tiếp theo em xin gửi lời cảm ơn sâu sắc đến TS Nguyễn Thăng Long CN Phan Văn Minh , người trực tiếp hướng dẫn em suốt trình học tập nghiên cứu trường, thầy truyền cho em cách tư có hệ thống, phương pháp nghiên cứu, tiếp cận thực tế - điều quý báu với em trường làm việc thực tế Em xin cảm ơn tới toàn thể cán bộ môn Vi điện tử - vi hệ thống người dẫn dắt định hướng nghiên cứu cho em suốt hai năm qua Em xin gửi lời cảm ơn tới Ths Nguyễn Kiêm Hùng tồn thể cán làm việc phịng ” hệ thống tích hợp thơng minh” bào tạo điện kiện cho em suốt trình học tập nghiên cứu FPGA phòng Em xin tỏ lòng biết ơn chân thành tới cha mẹ, gia đình em người sinh thành, ni nấng, tin tưởng động viên em Xin gửi lời cảm ơn tới tất bạn bè, đặc biệt tập thể lớp K49ĐB, người cổ vũ, động viên, chia sẻ với em suốt năm qua Hà nội, ngày 27 tháng năm 2008 Sinh viên thực Nguyễn Văn Thông Nguyễn Văn Thông K49ĐB vi Mở đầu Dao động kí (Oscilloscop) thiết bị đo lường điện tử phổ biến nay, không giống loại máy đo khác cho ta thông số tín hiệu, Oscilloscop cịn cho phép ta quan sát tức thời dạng tín hiệu Nhiệm vụ Oscilloscop hiển thị cách thật xác, chi tiết dạng tín hiệu dạng hàm số điện áp thời gian Ngoài nhiệm vụ không phần quan trọng khác oscilloscop so sánh dạng sóng khác đo lường mối quan hệ thời gian pha chúng Có thể nói q trình phát triển oscillscop gắn liền với trình phát triển kĩ thuật điện tử Mở đầu oscilloscop tương tự, vài thập niên gần oscilloscop số, gần số công ty đo lường hàng đầu giới vừa cho đời oscilloscop hỗn hợp số tương tự tính hợp với tính mạnh mẽ thừa hưởng từ ngành cơng nghiệp máy tính Thế kỉ 21 kỉ thông tin kĩ thuật số với phát triển ứng dụng rộng rãi vi xử lý Bằng việc đưa sức mạnh kĩ thuật số vào thực tiễn, vi xử lý ngày thay đổi cách sống xã hội lồi người Khóa điện tử, máy điện thoại, nồi cơm điện… ngày thông minh hơn, mạnh mẽ nhanh nhờ vi xử lý Tất ngành công nghiệp lớn như: viễn thông, điều khiển công nghiệp, sản xuất hàng tiêu dùng… ý thức sử dụng triệt để công nghệ họ vấp phải vần đề cần giải vấn đề liên quan tới tín hiệu điều khiển số tương tự giới thực Oscilloscop với vai trò thiết bị giám sát, đo kiểm phải đáp ứng yêu cầu ngày khắt khe ngành cơng nghiệp đắt Với mục đích tìm hiểu thiết kế oscilloscop số, khóa luận trình bày phân tính cấu tạo, ngun lí hoạt động loại oscilloscop, tính tiến tiến chúng, đặc biệt cách thiết kế oscilloscop kĩ thuật số có nhớ dùng chip FPGA làm trung tâm điều khiển Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA Chương TỔNG QUAN VỀ FPGA 1.1 FPGA LÀ GÌ? FPGA (Field-Programmable Gate Array) vi mạch dùng cấu trúc mảng phần tử logic mà người dùng lập trình Vi mạch FPGA cấu thành từ phận:  Các khối logic lập trình (logic block)  Hệ thống mạch liên kết lập trình  Khối vào/ra (IO Pads)  Phần tử thiết kế sẵn khác DSP slice, RAM, ROM, nhân vi xử lý So sánh FPGA với ASIC vi mạch bán dẫn khác: ASIC (Application-Specific Integrated Circuit) vi mạch IC thiết kế dành cho ứng dụng cụ thể FPGA xem loại vi mạch bán dẫn chuyên dụng ASIC, so sánh FPGA với ASIC đặc chế hoàn tồn hay ASIC thiết kế thư viện logic FPGA không đạt đựợc mức độ tối ưu loại này, hạn chế khả thực tác vụ đặc biệt phức tạp, FPGA ưu việt chỗ tái cấu trúc lại sử dụng, công đoạn thiết kế đơn giản chi phí giảm, rút ngắn thời gian đưa sản phẩm vào sử dụng Còn so sánh với dạng vi mạch bán dẫn lập trình dùng cấu trúc mảng phần tử logic PLA, PAL, CPLD FPGA ưu việt điểm:  Tác vụ tái lập trình FPGA thực đơn giản  Khả lập trình linh động  Kiến trúc FPGA cho phép có khả chứa khối lượng lớn cổng logic (logic gate), so với vi mạch bán dẫn lập trình có trước Thiết kế hay lập trình cho FPGA thực chủ yếu ngôn ngữ mô tả phần cứng HDL VHDL, Verilog, AHDL, hãng sản xuất FPGA lớn Xilinx, Altera thường cung cấp gói phần mềm thiết bị phụ trợ cho trình Nguyễn Văn Thơng K49ĐB Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA thiết kế, có số hãng thứ ba cung cấp gói phần mềm kiểu Synopsys, Synplify Các gói phần mềm có khả thực tất bước tồn quy trình thiết kế IC chuẩn với đầu vào mã thiết kế HDL (còn gọi mã RTL) 1.2 LỊCH SỬ RA ĐỜI FPGA FPGA thiết kế Ross Freeman, người sáng lập công ty Xilinx vào năm 1984, kiến trúc FPGA cho phép tích hợp số lượng tương đối lớn phần tử bán dẫn vào vi mạch so với kiến trúc trước CPLD FPGA có khả chứa tới từ 100.000 đến hàng vài tỷ cổng logic, CPLD chứa từ 10.000 đến 100.000 cổng logic; số PAL, PLA thấp đạt vài nghìn đến 10.000 CPLD cấu trúc từ số lượng định khối SPLD (Simple programable devices, thuật ngữ chung PAL, PLA) SPLD thường mảng logic AND/OR lập trình có kích thước xác định chứa số lượng hạn chế phần tử nhớ đồng (clocked register) Cấu trúc hạn chế khả thực hàm phức tạp thông thường hiệu suất làm việc vi mạch phụ thuộc vào cấu trúc cụ thể vi mạch vào yêu cầu toán Kiến trúc FPGA kiến trúc mảng khối logic, khối logic, nhỏ nhiều đem so sánh với khối SPLD, ưu điểm giúp FPGA chứa nhiều phần tử logic phát huy tối đa khả lập trình phần tử logic hệ thống mạch kết nối, để đạt mục đích kiến trúc FPGA phức tạp nhiều so với CPLD Một điểm khác biệt với CPLD FPGA đại tích hợp nhiều logic số học sơ tối ưu hóa, hỗ trợ RAM, ROM, tốc độ cao, hay nhân cộng (multication and accumulation, MAC), thuật ngữ tiếng Anh DSP slice dùng cho ứng dụng xử lý tín hiệu số DSP Ngoài khả tái cấu trúc vi mạch tồn cục, số FPGA đại cịn hộ trợ tái cấu trúc cục bộ, tức khả tái cấu trúc phận riêng lẻ đảm bảo hoạt động bình thường cho phận khác 1.3 ỨNG DỤNG Nguyễn Văn Thông K49ĐB Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA Ứng dụng FPGA bao gồm: xử lý tín hiệu số DSP, hệ thống hàng khơng, vũ trụ, quốc phịng, tiền thiết kế mẫu ASIC (ASIC prototyping), hệ thống điều khiển trực quan, phân tích nhận dạng ảnh, nhận dạng tiếng nói, mật mã học, mơ hình phần cứng máy tính Do tính linh động cao trình thiết kế cho phép FPGA giải lớp toán phức tạp mà trước thực nhờ phần mềm máy tính, nhờ mật độ cổng logic lớn FPGA ứng dụng cho tốn địi hỏi khối lượng tính tốn lớn dùng hệ thống làm việc theo thời gian thực 1.4 CẤU TRÚC MỘT FPGA Cấu trúc tổng thể FPGA minh họa hình sau Hình 1: Cấu trúc tổng FPGA 1.4.1 Khối logic FPGA Hình 2: Khối logic FPGA Phần tử FPGA khối logic (logic block) Khối logic cấu thành từ LUT phần tử nhớ đồng flip-flop Nguyễn Văn Thông K49ĐB Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA -COMPONENT trigger_unit port ( trig_load :in std_logic_vector(1 downto 0); trig_value :in std_logic_vector(7 downto 0); logic_inputL:in std_logic_vector(7 downto 0); logic_inputH:in std_logic_vector(7downto 0); trig_falling :in std_logic; fifo_a_logic :in std_logic; fifo_b_logic :in std_logic; high_speed :in std_logic; ext_trigger :in std_logic; clk :in std_logic; rec_en :in std_logic; trig_sel :in std_logic_vector(1downto 0); trigger_output :out std_logic ); END COMPONENT; -COMPONENT xungnhip port ( CLOCK_50,clear sel :in :in std_logic_vector(2downto 0); clk Nguyễn Văn Thông K49ĐB std_logic; :out std_logic 56 Khóa luận tốt nghiệp Thử nghiệm thiết kế dao động ký số FPGA ); END COMPONENT; begin t1:giaotiep port map (control(3downto0), poit1(18 downto 0), DATA(7 downto 0),dataout(7 downto 0), pre_trig_load(2 downto 0), trig_sel(1 downto 0), trig_load(1 downto 0),clkdiv(2 downto 0), buf_dir1,fifo_r_clk1,rec_en1,init1,fifo_r_rst1, fifo_a_oe1,fifo_b_oe1,high_speed1,fifo_a_logic1, trig_falling1,fifo_b_logic1); -FIFO_A_OE

Ngày đăng: 16/11/2012, 10:17

Hình ảnh liên quan

Hình 1: Cấu trúc tổng thế một FPGA - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 1.

Cấu trúc tổng thế một FPGA Xem tại trang 10 của tài liệu.
Hình 3: Quy trình thiết kế FPGA - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 3.

Quy trình thiết kế FPGA Xem tại trang 12 của tài liệu.
Hình 4: Logic Synthesis - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 4.

Logic Synthesis Xem tại trang 13 của tài liệu.
Hình 5:Sơ đồ gán chân - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 5.

Sơ đồ gán chân Xem tại trang 14 của tài liệu.
Hình 7:Sơ đồ định tuyến Để thực hiện việc  này, chúng ta c ần có các thông tin sau: - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 7.

Sơ đồ định tuyến Để thực hiện việc này, chúng ta c ần có các thông tin sau: Xem tại trang 15 của tài liệu.
Hình 6:Sơ đồ không gian gán bên trong FPGA - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 6.

Sơ đồ không gian gán bên trong FPGA Xem tại trang 15 của tài liệu.
Hình 8:Sơ đồ khối của dao động kí điện tử - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 8.

Sơ đồ khối của dao động kí điện tử Xem tại trang 20 của tài liệu.
Sơ đồ của loại Oscilloscop có nhớ số như hình vẽ - Thử nghiệm thiết kế dao động ký số trên FPGA

Sơ đồ c.

ủa loại Oscilloscop có nhớ số như hình vẽ Xem tại trang 24 của tài liệu.
Hình 10: Sơ đồ khối của oscilloscop sốcó nhớ - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 10.

Sơ đồ khối của oscilloscop sốcó nhớ Xem tại trang 25 của tài liệu.
Hình 11: Sơ đồ một oscilloscop tương tự dùng vi xử lý V ới loại này ta thấy ngay sơ đồ nó được chia l àm ba ph ần: - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 11.

Sơ đồ một oscilloscop tương tự dùng vi xử lý V ới loại này ta thấy ngay sơ đồ nó được chia l àm ba ph ần: Xem tại trang 26 của tài liệu.
Hình 12: Sơ đồ khối của DSO đã được đơn giản hoá dùng FPGA - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 12.

Sơ đồ khối của DSO đã được đơn giản hoá dùng FPGA Xem tại trang 28 của tài liệu.
Hình 13: Sơ đồ thực hiện chức năng của AL422 - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 13.

Sơ đồ thực hiện chức năng của AL422 Xem tại trang 30 của tài liệu.
3.1.2 Bộ biến đổi tương tự - số ADC - Thử nghiệm thiết kế dao động ký số trên FPGA

3.1.2.

Bộ biến đổi tương tự - số ADC Xem tại trang 31 của tài liệu.
Hình 15: sơ đồ nối chân của ADC TDA8703 - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 15.

sơ đồ nối chân của ADC TDA8703 Xem tại trang 32 của tài liệu.
Hình 17:sơ đồ thực hiện khuếch đại - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 17.

sơ đồ thực hiện khuếch đại Xem tại trang 34 của tài liệu.
năng của nó ta có thể dễ dàng lập trình và cấu hình nó để thực hiện những yêu cầu của - Thử nghiệm thiết kế dao động ký số trên FPGA

n.

ăng của nó ta có thể dễ dàng lập trình và cấu hình nó để thực hiện những yêu cầu của Xem tại trang 35 của tài liệu.
Hình 20:Sơ đồ khối logic của FLEX8K - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 20.

Sơ đồ khối logic của FLEX8K Xem tại trang 36 của tài liệu.
Hình 21: Sự sắp xếp của bộ nhớ - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 21.

Sự sắp xếp của bộ nhớ Xem tại trang 40 của tài liệu.
Hình 22: BUS dữ liệu ra - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 22.

BUS dữ liệu ra Xem tại trang 42 của tài liệu.
Hình 23: Sơ đồ logic của 74LS245 - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 23.

Sơ đồ logic của 74LS245 Xem tại trang 43 của tài liệu.
Hình 24: Sơ đồ khối ghép nối giữa Cyclone II với các thành phần trên KIT - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 24.

Sơ đồ khối ghép nối giữa Cyclone II với các thành phần trên KIT Xem tại trang 45 của tài liệu.
Hình 25:sơ đồ các thành phần trên kit DE2 - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 25.

sơ đồ các thành phần trên kit DE2 Xem tại trang 46 của tài liệu.
Hình 28:Sơ đồ khối của M2K RAM - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 28.

Sơ đồ khối của M2K RAM Xem tại trang 48 của tài liệu.
Hình 29:Sơ đồ bố chí các thanh chân trên Cyclone II - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 29.

Sơ đồ bố chí các thanh chân trên Cyclone II Xem tại trang 49 của tài liệu.
Hình 30: Sơ đồ khổi điều khiển của DSO - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 30.

Sơ đồ khổi điều khiển của DSO Xem tại trang 50 của tài liệu.
Hình 31: Dạng mô phỏng của khối chương trình của DSO\ - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 31.

Dạng mô phỏng của khối chương trình của DSO\ Xem tại trang 51 của tài liệu.
Hình 33: Tần số cao nhất mà khối này việc được - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 33.

Tần số cao nhất mà khối này việc được Xem tại trang 52 của tài liệu.
Hình 35: Sơ đồ dạng xung của khổi cổng song song - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 35.

Sơ đồ dạng xung của khổi cổng song song Xem tại trang 53 của tài liệu.
Hai hình 37, 38 là mô tả những tài nguyên mà nguyên phần này đã sử dụng. Hình 38 mô t ả là phần này thì làm việc được ở tần số cao nhất có thể là 46.93MHz. - Thử nghiệm thiết kế dao động ký số trên FPGA

ai.

hình 37, 38 là mô tả những tài nguyên mà nguyên phần này đã sử dụng. Hình 38 mô t ả là phần này thì làm việc được ở tần số cao nhất có thể là 46.93MHz Xem tại trang 55 của tài liệu.
Hình 42: Mô phỏng dạng xung của dò điểm trigger - Thử nghiệm thiết kế dao động ký số trên FPGA

Hình 42.

Mô phỏng dạng xung của dò điểm trigger Xem tại trang 57 của tài liệu.

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan