Thiết kế được bộ điều khiển matrix led 2d quảng cáo dùng FPGA

34 463 3
Thiết kế được bộ điều khiển matrix led 2d quảng cáo dùng FPGA

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đồ án thiết kế hệ thống số Đề tài : Thiết kế điều khiển matrix led 2D quảng cáo đa dùng FPGA Lời mở đầu Ngày nhu cầu thông tin quảng cáo lớn , việc áp dụng phương tiện kĩ thuật vào lĩnh vực cần thiết Khi bạn đến nơi công cộng, bạn dễ dàng bắt gặp áp phích quảng cáo điện tử chạy theo hướng khác với nhiều hình ảnh màu sắc ấn tượng Từ yêu cầu môn học đồ án thiết kế hệ thống số thực tiễn trên, chúng em định chọn đề tài cho tập lớn môn học là: Thiết kế điều khiển matrix led 2D quảng cáo đa dùng FPGA Khi đề tài mở rộng có khả ứng dụng thực tiễn lớn Trong thời đại bùng nổ thông tin nay, khả ứng dụng tiềm lực phát triển hệ thống lớn, đặc biệt Việt Nam, hệ thống ít, hầu hết nhập từ nước với giá thành cao Và trình thực đề tài, nhóm em xin chân thành cảm ơn thầy NGUYỄN NGỌC MINH giúp đỡ để giúp chúng em hoàn thành đồ án Hà Nội , 10/4/2013 MỤC LỤC MỤC LỤC I.Đặt vấn đề nhiệm vụ đồ án .4 1.1 Đặt vấn đề .4 1.2 Nhiệm vụ đồ án Chương I : TÌM HIỂU VỀ LED MATRIX 1.1 Led matrix .5 1.2 Phân loại Led matrix .6 1.3 Nguyên tắc làm sáng đèn bảng LED .8 1.4 Nguyên tắc quét bảng ma trận LED .8 1.5 Phương pháp tạo mã chữ 10 Chương II : TỔNG QUAN VỀ FPGA .11 2.1 Giới thiệu FPGA 11 2.1.1Ý nghĩa vai trò FPGA 11 2.2.2 Tại phải dùng FPGA 12 2.2 Kiến trúc FPGA 12 Chương III : NGÔN NGỮ MÔ TẢ PHẦN CỨNG VHDL 13 3.1 Giới thiệu VHDL 13 3.2 Cấu trúc chương trình mơ tả VHDL 13 3.2.1 Khai báo thư viện 14 3.2.2.Mô tả thực thể 15 3.2.3 Kiến trúc mơ hình 15 Chương IV : Thiết kế hệ thống .17 4.1.Giới thiệu Xilinx ISE Design Suite 13.2 17 4.2 Sơ đồ hệ thống 19 4.2.2 IC đệm dòng ULN 2803 25 4.2.3 Tranzito 2n3904 .26 4.4 Lưu đồ thuật toán .28 Kết luận 28 Hình ảnh thực tế mạch 29 Phụ lục 30 1.Tài liệu tham khảo 30 Các thiết bị sử dụng 30 3.Code cho Module điều khiển (chạy chữ DTMT từ phải qua trái) 30 I.Đặt vấn đề nhiệm vụ đồ án 1.1 Đặt vấn đề Sự phát triển kinh tế thị trường ngày đất nước ta tới năm gần có nhiều thay đổi , mặt hàng phục vụ đời sống người ngày đa dạng phong phú đời sống sinh hoạt ,các hoạt động kinh tế kết hợp phát triển công nghệ đại làm cho sống đầy đủ tiện nghiw hơn.Việc đưa thông tin quảng cáo đến với người tiêu dùng thơng qua nhiều hình thức khác Trong nhiều hình thức đa dạng thơng tin quảng cáo : báo ,đài tivi, tờ rơi ,áp phích việc dùng bảng thơng tin điện tử cách đơn giản hiệu để quảng cáo.Chúng ta bắt gặp nhiều bảng thông tin thực tế Một số hình ảnh biển điện tử thực tế : -Biển quảng cáo -Trang trí hiệu ứng … 1.2 Nhiệm vụ đồ án Thiết kế điều khiển matrix led 2D quảng cáo đa dùng FPGA Điều khiển led matrix chạy chữ DTMT từ phải qua trái sử dụng ngôn ngữ VHDL Chương I : TÌM HIỂU VỀ LED MATRIX 1.1 Led matrix Ma trận Led tức Dot Matrix Led tập hợp nhiều đèn Led bố trí thành dạng ma trận hình chữ nhật hình vng với số hàng a số cột b.Ma trận Led nhiều ứng dụng hiển thị biển quảng cáo ,hiển thị thay LCD chí dùng để hiển thị video Để giảm số lượng đường điều khiển ,trong ma trận Led Led nối chung với theo hàng theo cột Số lượng Led ma trận Led axb số lượng ngõ tổng số hàng cột : a+b Việc điều khiển ma trận Led kích thước lớn đòi hỏi thiết kế mạch driver điều khiển phức tạp 1.2 Phân loại Led matrix Bảng hiển thị ma trận LED (diot-matrix display) có nhiều loại đủ kích cỡ to nhỏ khác nhau, bảng gồm có nhiều LED đơn ghép lại với khối Trong khối LED đơn sếp theo hàng cột, giao điểm hàng với cột LED đơn, người ta thường phân biệt loại bảng LED theo số hàng cột Một bảng LED 5x7 tức có 5cột dọc hàng ngang, tổng cộng có 5x7=35 LED đơn ghép lại Cũng bảng 8x8 có hàng cột, 64 LED đơn ghép lại Và nhiều loại cỡ to 16x16 hay 32x32… Về môi trường hoạt động có loại indoor (trong nhà) outdoor (ngồi trời), semi-outdoor (bán ngồi trời) Về màu sắc có loại màu (red, green, blue), có loại màu, loại màu, với loại sơ đồ xếp chân hàng cột lại khác Về độ phân giải tùy vào ứng dụng cụ thể mà chọn loại P10, P16, P20, …(P16 có nghĩa khoảng cách điểm LED đến điểm LED 16 mm) Hình 1: Minh họa bảng led matrix 8x8 Sơ đồ cấu tạo: ma trận led có 16 chân xếp theo thứ tự hàng cột đánh số từ đến 8, số chân led đánh từ đến 16 theo sơ đồ hình vẽ Hình 2: Sơ đồ ghép nối bảng led matrix 8x8 Khi đóng vỏ, phân bố chân hàng cột không theo thứ tự (do tính phức tạp ghép nối), ta cần tìm hiểu kỹ để mắc mạch cho đúng, nên theo thứ tự ta có chân số cột gồm: 13,3,4,10,6,11,15,16;các chân số hàng theo thứ tự là: 9,14,8,12,1,7,2,5 Bảng ma trận LED có hai loại, loại có cột chân Anode, hàng chân Cathode loại ngược lại cột Cathode, hàng Anode Khi sử dụng LED ta cần ý điều để điều khiển cho 1.3 Nguyên tắc làm sáng đèn bảng LED Khi muốn làm sáng LED đơn, ta cần đưa điên áp dương vào chân Anode điện áp âm vào chân Cathode với giá trị thích hợp, LED sáng Giá trị điện áp dòng điện tuỳ thuộc vào màu sắc loại LED Dòng chảy qua LED để đảm bảo độ sáng bình thường từ 10mA 25mA.Khi ta muốn làm sáng điểm bảng ma trận LED ta làm tương tự 1.4 Nguyên tắc quét bảng ma trận LED Trong đề tài em sử dụng bảng LED 8x8 ghép lại thành bảng cỡ 8x32 (8 hàng 32 cột) Để hiển thị ký tự lên bảng LED, ta dùng phương pháp quét cột xuất liệu hàng Quá trình qt cột ta gửi tín hiệu cho phép đến cột thời điểm Cùng lúc ta gửi liệu hàng đến hàng.Trong đề tài tín hiệu cho phép cột mức logic ‘0’, liệu hàng tươngứng mức ‘1’ hay ‘1’ hàng, mức ‘0’ ứng với LED sáng (on) mức‘1’ tắt (off) • Đầu tiên ta đưa liệu cần hiển thị đến hàng, ví dụ 11100110 • Kích hoạt cột thứ LED tương ứng sáng Tạo thời gian trễ, sau tắt cột thứ • Gửi tiếp giá trị liệu hàng cột thứ 2, kích hoạt cột thứ 2, tạo trễ vàlại tắt cột thứ • Q trình qt tiếp diễn quét hết 32 cột bảng LED.Việc quét hiển thị diễn thời gian ngắn, cỡ vài chục ms, ta thấy hình ảnh hay chữ hiển thị bảng LED Tuy thời điểm có cột sáng thời gian quét nhanh dohiện tương lưu ảnh võng mạc mắt nên ta thấy hình ảnh xuất liên tục Tần số quét cần phải đảm bảo cho đủ lớn 24hình/s.Thường ta chọn tần số quét từ 40Hz đến 100Hz lớn Dữ liệu hiển thị hàng lấy từ StrataFlash ROM Kit từ ROM Trạng thái LED định tín hiệu điện áp vào đồng thời chân Ví dụ để LED sáng điện áp 5V phải đưa vào chân dương chân âm phải nối mass, LED tắt chân âm nối với điện áp mức cao Với đề tài này, chúng em chọn loại ma trận LED 8x8 để hiển thị.Ta có sơ đồ nguyên lý Ma trận LED 8x8: Để phần ma trận sáng hình vẽ Thực quét dòng cột:Chọn cột 1, đưa điện áp cột • Đèn tắt =>Điện áp đưa vào hàng 0V • Đèn tắt =>Điện áp đưa vào hàng 0V • Đèn tắt =>Điện áp đưa vào hàng 0V • Đèn tắt =>Điện áp đưa vào hàng 0V • Đèn tắt =>Điện áp đưa vào hàng 0V • Đèn tắt =>Điện áp đưa vào hàng 0V • Đèn tắt =>Điện áp đưa vào hàng 0V • Đèn tắt =>Điện áp đưa vào hàng 0V • Chọn cột : đưa điện áp cột 0.Sau qt hàng 1,2,3,4,5,6,7,8 • Đèn sáng =>Điện áp đưa vào hàng 5V • Đèn sáng=>Điện áp đưa vào hàng 5V • Đèn sáng =>Điện áp đưa vào hàng 5V • Đèn sáng=>Điện áp đưa vào hàng 5V • Đèn sáng =>Điện áp đưa vào hàng 5V • Đèn sáng => Điện áp đưa vào hàng 5V • Đèn sáng => Điện áp đưa vào hàng 5V • Đèn sáng =>Điện áp đưa vào hàng 5V Tiếp tục quét với cột từ đến cách trên, sau chuyển sang quét đèn LED thứ hai cách tương tự Để mắt người nhận biết toàn hình ảnh ma trận ta phải tiến hànhquét nhiều lần Do mắt người không phân biệt thay đổi ảnh ảnh đóđược quét với tốc độ 24 hình/s nên ta quét ảnh với tốc độ lớn bằng24 hình/s ảnh chạy liên tục không bị giật 1.5 Phương pháp tạo mã chữ Tạo mã chữ thực thủ cơng hay sử dụng phần mêm Matix.Để đơn giản,nhanh ,thuận tiện,và xác dùng phần mềm matix tiết kiệm thời gian tạo mã chữ.Phần mềm tạo mã chữ cho ma trận led 8x8 • Với liệu tích cực mức 0(chữ cần hiển thị đèn tắt lền đèn sáng) • Với liệu tích cực mức 1(chữ cần hiển thị đèn sang lền đèn tắt) 10 • Xilinx Platform Flash for nonvolatile FPGA configurations • Efficient switch-mode power supplies (good for battery powered applications) • 50MHz oscillator plus socket for second oscillator • 60 FPGA I/O’s routed to expansion connectors (one high-speed Hirose FX2 connector and four 6-pin headers) • LEDs, 4-digit 7-seg display, buttons, slide switches • Ships in a plastic carry case with USB cable Power Supplies Kit Nexys2 cung cấp nguồn điện đầu vào từ cáp USB, từ nguồn từ 5VDC-15VDC 20 Nguồn điện cung cấp nguồn 3.3 v cho toàn Kit Một sô thiết bị yêu cầu nguồn 2.5v,1.8v,1.2v chủ yếu cung cấp nguồn 3.3v có nguồn cung cấp bổ xung tạo từ nguồn cung cấp 3.3 v.Các nguồn cung cấp chủ yếu tạo hiệu điều tiết chuyển từ tuyến tính cơng nghệ Những điều chỉnh không sử dụng hiệu sức mạnh nguồn usb mà cho phép kit chạy gói pin thời gian dài Tổng số Board phụ thuộc vào cấu hình FPGA ,tần số đồng hồ kết nối bên thử nghiệm mạch với khoảng 20K cửa chuyển, tần số 50MHz , tất đèn LED chiếu sáng, khoảng 200mA rút từ việc cung cấp 1.2V, 50mA từ Cung cấp 2.5V 100mA từ việc cung cấp 3.3V Yêu cầu tăng mạch lớn cấu hình FPGA, bảng ngoại vi gắn Nexys2 nhận nguồn từ (hoặc cung cấp lượng để) Board thiết bị ngoại vi kết nối với Kết nối Pmod để mở rộng 100-pin lớn kết nối Nhảy gần kết nối Pmod nối mở rộng lớn (JP1 - JP5) kết nối.Đầu vào Nexys2 để pin kết nối.Các jumper Pmod sử dụng để định tuyến đầu vào Các bus 3.3V quy định để chân điện Pmod,trong kết nối nhảy mở rộng thực phá vỡ kết nối với bus đầu vào 21 Nexys2 với lớp PCB , với lớp bên dành riêng cho VCC GND FPGA IC khác bảng có bổ sung lớn tụ điện bỏ qua đặt gần tốt để pin VCC Điện cung cấp định tuyến vượt qua tụ điện nguồn cung cấp lượng sạch, ổn định, tiếng ồn thấp FPGA and Platform Flash Configuration FPGA bảng Nexys2 phải cấu hình (orprogrammed) người dùng trước thực chức Trong cấu hình, "chút" tập tin chuyển vào tế bào nhớ FPGA để xác định chức logic mạch liên kết nối ISE / WebPack miễn phí phần mềm CAD từ Xilinx sử dụng để tạo file bit từ VHDL, Verilog, tập tin nguồn sơ đồ dựa FPGA lập trình hai cách: • Trực tiếp từ máy tính qua cổng USB on-board, • Từ tảng tàu Flash ROM (cácFlash ROM người sử dụng lập trình thơng qua Cổng USB) FPGA tự động tải cấu hình từ Flash ROM Platform điện cấu hình chế độ nhảy thiết lập để "Master nối tiếp " Nếu chế độ nhảy thiết lập để "JTAG", FPGA chờ đợi chương trình từ máy tính (thơng qua cáp USB) Digilent’s freelycủa máy tính dựa Adept phần mềm sử dụng để cấu hình FPGA Flash Platform với tập tin lưu trữ phù hợp máy tính Adept sử dụng cáp USB vào chuyển tập tin bit lựa chọn từ máy tính để FPGA Nền tảng Flash ROM Sau FPGA cấu hình, thiết lập lại kiện điện chu trình nút reset FPGA (BTNR) bị ép Nền tảng Flash ROM giữ lại tập tin chút lập trình, kiện điện chu trình 22 4.Clock KIT Nexys2 bao gồm 50MHz dao động ổ cắm cho dao động thứ hai Tín hiệu đồng hồ từ dao động kết nối vớiđồng hồ toàn cầu chân đầu vào FPGA để họ lái đồng hồ khối tổng hợp có sẵn FPGA Các đồng hồ tổng hợp(gọi DLL, trì hỗn vòng lặp bị khóa) cung cấp quản lý đồng hồkhả bao gồm tăng gấp đôi gấp bốn lần đầu vàotần số, phân chia tần số đầu vào số nguyên,và xác định giai đoạn xác mối quan hệ chậm trễ tín hiệu đồng hồ khác 5.Memory Kit Nexys2 có nhớ RAM bên thiết bị ROM Bộ nhớ RAM bên Micron M45W8MW16 Cellular RAM thiết bị DRAM giả tĩnh 128Mbit tổ chức 8Mbytes x 16bits Nó hoạt động SRAM 23 khơng đồng điển hình với đọc ghi lần chu kỳ 70ns, nhớ đồng với bus 80MHz Khi operatedas SRAM không đồng bộ, nhớ RAM di động tự động làm mảng DRAM nội mình, cho phép thiết kế điều khiển nhớ đơn giản (tương tự SRAM) FPGA Khi hoạt động chế độ đồng bộ, chuyển liên tục lên đến 80MHz ROM bên ngồi 128Mbit Intel TE28F128J3D75-110 thiết bị StrataFlash tổ chức 8Mbytes x 16bits Bên trong, chứa 128 khối xóa riêng lẻ, hỗ trợ 110ns chu kỳ thời gian đọc, với 25ns trang chế độ đọc khối Nó có ghi 32-byte đệm bên mà canbe văn với thời gian chu kỳ 70ns, đệm 32 byte chuyển giao cho mảng Flash 218us (điển hình) Cả hai thiết bị chia sẻ bus liệu 16-bit thông thường bus địa 24-bit Bộ nhớ RAM di động byte địa cách sử dụng tín hiệu byte thấpbyte (MT-UB MT-LB), StrataFlash cấu hình cho 16 byte hoạt động (nó byte địa chỉ) Sản lượng cho phép (OE) viết cho phép (WE) tín hiệu chia sẻ hai thiết bị, thiết bị buteach có chip cho phép tín hiệu cá nhân (CE) Ngồi ra, nhớ RAM di động có đồng hồ (MT-CLK), chờ đợi (MT-WAIT), địa hợp lệ (MT-ADV) kiểm sốt đăng ký cho phép (MT_CRE) báo hiệu có sẵn cho FPGA để sử dụng với chuyển đồng bộ, có StrataFlash Đặt lại (RP #) trạng thái (STS) tín hiệu chuyển đến FPGA 24 Mã nguồn VDHL có sẵn tài liệu tham khảo designposted trang web Digilent để minh họa cho việc sử dụng thiết bị Một sở xây dựng hệ thống tập tin isalso có sẵn để sử dụng thiết bị với công cụ Xilinx EDK lõi xử lý MicroBlaze, hai có sẵn từ Xilinx Thơng tin đầy đủ có sẵn cho thiết bị từ trang web nhà sản xuất 4.2.2 IC đệm dòng ULN 2803 Đây IC gồm transistor NPN ghép Darlington gắn mạch điện tử dãy chuổi lý tưởng để giao tiếp với mạch điện dạng số mức logic thấp như: TTL, CMOS PMOS/NMOS ULN2803 có tác dụng ổn dòng khoảng thời gian ngắn Tác dụng làm cho LED sáng quét phải sáng hàng LED nên sụt dòng lớn làm cho LED tối 25 ULN2803 thiết kế để phù hợp với chuẩn TTL Vài số kĩ thuật IC ULN2803: • Dòng điện ngõ vào khoảng 25mA • Điện áp ngõ vào khoảng 0.5V – 30V • Dòng tới 500 mA/ 50 V • Đệm kênh riêng biệt • Đầu đảo(vào ngược lại) 4.2.3 Tranzito 2n3904 Transistor 2N3904 transistor thuộc loại transistor NPN 2N3904 có Uc cực đại = 40V dòng Ic cực đại = 200mA Hệ số khuếch đại hFE tranzistor 2N3904 khoảng 130 đến 300 26 Thứ tự chân từ trái qua phải: E B C Transistor 2N3904 hoàn toàn thay cho transistor 2N2222 với khả hoạt động tương đương 4.3 Sơ đồ nguyên lí 27 4.4 Lưu đồ thuật tốn Bắt đầu tạo xung quét cột :với chu kì mS nên suy F=1kHz mà tần số Kit 50Mhz nên ta suy inc=50Mhz/1kHz=50000 Tiếp ta tạo tốc độ dịch chữ => quét cột =>xuất liệu hàng=> dịch cột trình lặp lại liên tục Kết luận Ngày ,khi mà cầu dùng biển điện từ ngày nhiều,đi đâu ta bắt gặp biển điện tử : cửa hàng ,siêu thị, Việc nghiên cứu biển điện tử cho chúng em kiến thức cần thiết để thiết kể bảng điện tử quan trọng giúp chúng em tiếp xúc với FPGA ,lập trình VHDL vào sống 28 nhiều hơn.Từ thành công đề tài bước đầu giúp chúng em có kinh nghiệm phát triển đề tài thêm Đạt từ đề tài: -Mạch chạy ổn định -Có thêm kiến thức FPGA lập trình VHDL Một số hạn chế mạch: - Sử dụng nhiều chân Kit ,như để điều khiển Led matric 8*32 cần phải dùng tận 40 chân Kit -Dòng cung cấp cho tồn module để Led sáng chưa đủ,nên số Led module sáng yếu Một lần nhóm thực đề tài xin chân thành cảm ơn giúp đỡ chia sẻ thầy bạn Rất mong nhận đóng góp từ phía thầy bạn để sản phẩm ngày hoàn thiện Xin chân thành cảm ơn! Hình ảnh thực tế mạch 29 Phụ lục 1.Tài liệu tham khảo -Slide giảng “Lectures VHDL” Ths Đỗ Mạnh Hà Học viện Công Nghệ Bưu Chính Viễn Thơng -Getting_Started_With_FGAs_Part-2 by David Ward -Getting Started With the NEXYS2 Spartan 3E Kit and Xilinx ISE Webpack A Beginner’s Tutorial -http://dientuvietnam.net -http://www.digilentinc.com/Products/Detail.cfm?Prod=NEXYS2 Các thiết bị sử dụng - led matrix 8*8 để ghép thành module Led matrix 8*32 - IC ULN 2803 -8 tranzito 2N3904 -Thiếc mỏ hàn - Nguồn lấy từ nguồn laptop - Bộ phần mềm ISE Xinlinx để lập trình Altium để thiết kế mạch module… 3.Code cho Module điều khiển (chạy chữ DTMT từ phải qua trái) library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity NEXYS2_ABC is Port ( clk : in STD_LOGIC; DISPLAY_C : out BIT_VECTOR (7 downto 0); 30 DISPLAY_R : out BIT_VECTOR (7 downto 0); DISPLAY_R1: out BIT_VECTOR (7 downto 0); DISPLAY_R2: out BIT_VECTOR (7 downto 0); DISPLAY_R3: out BIT_VECTOR (7 downto 0)); end NEXYS2_ABC; architecture Behavioral of NEXYS2_ABC is SIGNAL ABC: BIT_VECTOR (255 DOWNTO 0):= "111111110000000001111110011111100111111010000001111111111111111011111 110000000001111111011111110111111110000000011111101111110111111110100 0000001111111111111110111111100000000011111110111111101111111111111111 111111111111111111111111111111111111111111111111"; SIGNAL low_clk : bit; SIGNAL ringcounter : BIT_VECTOR (7 DOWNTO 0):= "11111110"; BEGIN PROCESS (clk) VARIABLE inc, inc_2 : integer := 0; BEGIN IF(clk'EVENT AND clk = '1') THEN inc:= inc + 1; IF(inc = 50000) THEN IF(low_clk = '1') THEN low_clk

Ngày đăng: 19/05/2019, 16:07

Từ khóa liên quan

Mục lục

  • MỤC LỤC

  • I.Đặt vấn đề và nhiệm vụ của đồ án

    • 1.1 Đặt vấn đề

    • 1.2 Nhiệm vụ của đồ án

    • Chương I : TÌM HIỂU VỀ LED MATRIX

      • 1.1 Led matrix

      • 1.2 Phân loại Led matrix

      • 1.3 Nguyên tắc làm sáng đèn trên bảng LED

      • 1.4 Nguyên tắc quét bảng ma trận LED

      • 1.5 Phương pháp tạo mã chữ

      • Chương II : TỔNG QUAN VỀ FPGA

        • 2.1 Giới thiệu FPGA

          • 2.1.1Ý nghĩa và vai trò của FPGA

          • 2.2.2 Tại sao phải dùng FPGA

          • 2.2 Kiến trúc FPGA

          • Chương III : NGÔN NGỮ MÔ TẢ PHẦN CỨNG VHDL

            • 3.1 Giới thiệu về VHDL

            • 3.2 Cấu trúc của chương trình mô tả bằng VHDL

              • 3.2.1 Khai báo thư viện

              • 3.2.2.Mô tả thực thể

              • 3.2.3. Kiến trúc của mô hình.

              • Chương IV : Thiết kế hệ thống

                • 4.1.Giới thiệu về Xilinx ISE Design Suite 13.2

                • 4.2. Sơ đồ hệ thống

                  • 4.2.2. IC đệm dòng ULN 2803

                  • 4.2.3 Tranzito 2n3904

                  • 4.4 Lưu đồ thuật toán

                  • Kết luận

Tài liệu cùng người dùng

Tài liệu liên quan