Thiết kế robot dò đường theo vạch

37 221 0
Thiết kế robot dò đường theo vạch

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

ĐỒ ÁN THIẾT KẾ LOGIC SỐ BÁO CÁO ĐỒ ÁN THIẾT KẾ LOGIC SỐ Thiết Kế Robot Đường Theo Vạch ROBOT Đường Theo Vạch Page ĐỒ ÁN THIẾT KẾ LOGIC SỐ Mục Lục ROBOT Đường Theo Vạch Page ĐỒ ÁN THIẾT KẾ LOGIC SỐ Lời Nói Đầu Ngày điều khiển tự động trở thành nhu cầu thiếu người xuất học thuyết ứng dụng cụ thể đời sống ngày, nói điều khiển tự động chi phối dần sống Con người cố gắng sáng tạo robot có khả làm việc thay cho người Chúng ta thường bắt gặp robot dây chuyền công nghiệp sản xuất tự động hay robot giúp việc gia đình Để tìm ý tưởng sáng tạo hay hàng năm đề diễn thi robocon châu thái bình dương tiền đề để tạo robot có khả áp dụng vào thực tế Cũng mục đích mà chúng em thực đồ án điều khiển robot đường lại bước khởi đầu lập trình robot để robot thực cơng việc Đây cơng việc nhỏ lập trình điều khiển robot qua trình thiết kế thi công đề tài chúng em rút nhiều kinh nghiệm thực tiễn quý báu Mục đích đề tài hướng đến tạo bước đầu cho sinh viên thử nghiệm ứng dụng vdk thực tiễn để từ tìm tòi, phát triển nhiều ứng dụng khác đời sống ngày cần đến Mặc dù cố gắng để hồn thành xong đồ án mơn học kiến thức học, số sách tham khảo số nguồn tài liệu khác không tránh khỏi thiếu sót Do vậy, chúng em mong góp ý q báu thầy bạn để đề tài hồn thiện mức cao Em xin thay mặt nhóm gửi lời cảm ơn sâu sắc tới thầy hướng dẫn Nguyễn Ngọc Minh bạn lớp D09DTMT giúp đỡ nhiệt tình để chúng em hồn thành đồ án này! ROBOT Đường Theo Vạch Page ĐỒ ÁN THIẾT KẾ LOGIC SỐ Phần Một: Mục đích thiết kế đề tài 1.1 Công cụ mô tả phần cứng VHDL VHDL ngôn ngữ mô tả phần cứng (hardware description language), mô tả hành vi mạch điện hệ thống, từ mạch điện vật lý hệ thống thực thi VHDL viết tắt VHSIC Hardware Description Language Bản thân VHSIC viết tắt Very High Speed Integrated Circuits (mạch tích hợp tốc độ cao), lần sáng lập United State Department of Defense năm 80, sau tạo VHDL Phiên VHDL 87, lần nâng cấp sau có tên HDL 93 VHDL ngôn ngữ mô tả phần cứng nguyên gốc chuẩn hóa Institue of Electrical and Electronics Engineers (IEEE), tới chuẩn IEEE 1076 Trong IEEE 1164, có chuẩn thêm vào giới thiệu hệ thống logic đa giá trị (multi-valued logic system) Động thúc đẩy dùng VHDL (hay dùng Verilog) VHDL ngôn ngữ độc lập chuẩn nhà cơng nghệ, nhà phân phối chúng có khả portable kế thừa cao (reusable) Hai ứng dụng trực tiếp VHDL mảng thiết bị logic lập trình (Programmable Logic Devices) (bao gồm CPLDs – Complex Programmable Logic Devices FPGAs – Field Programmable Gate Arrays) Mỗi mã nguồn VHDL viết, chúng dùng để thực thi mạch điện thiết bị lập trình (từ Altera, Xilinx, Almel, ) gửi đến xưởng chế tạo chíp ASIC Hiện này, nhiều chip thương mại phức tạp (ví dụ microcontrollers ) thiết kế dựa cách tiếp cận Một điều ý VHDL trái ngược với chương trình máy tính thơng thường thực câu lệnh thực song song (concurrent) Vì lí đó, nên VHDL thường coi mã nguồn chương trình Trong VHDL có câu lệnh đặt PROCESS, FUNCTION, hay PROCEDURE thực thi ROBOT Đường Theo Vạch Page ĐỒ ÁN THIẾT KẾ LOGIC SỐ Một tiện ích lớn VHDL cho phép tổng hợp mạch điện hệ thống thiết bị khả lập trình (programmable devide) (PLD FPGA) hệ ASIC 1.2 Công cụ ngơn ngữ lập trính FPGA PLD viết tắt từ tiếng anh Programmable Logic Device Nó trước hết ICs (Integrated Circuits) chứa đơn vị logic số liên kết khả trình để hình thành nên mạch điện tử số Về bản, cho phép nhà thiết kế "cấu hình" đơn vị logic số liên kết đơn vị để hình thành mạch điện tử số nội bên IC đơn Trong IC này, tài nguyên phần cứng cấu hình để thực chức yêu cầu Bằng cách thay đổi cấu hình cứng, PLD thực chức khác PLD có ba loại chính: Simple PLD (PLA, PAL, GAL), Complex PLD FPGA Các nhà sản xuất PLD lớn: - Achronix Semiconductor Corporation - Actel Corporation - Altera Corporation - Atmel Corporation - Cypress Semiconductor - Lattice Semiconductor Corporation - Quicklogic Corporation - Xilinx Các phương pháp công cụ thiết kế mạch logic khả trình: Về bản, nhà sản xuất có cơng cụ hỗ trợ thiết kế miễn phí PLD hãng Tất nhiên cơng cụ miễn phí có giới hạn định thiết kế tuỳ hãng Dưới tóm tắt cơng cụ hãng: - Actel Corporation: Libero IDE - Altera Corporation: Quartus II - Altium: Altium Designer - Atmel Corporation: Integrated Development System (IDS) - Cypress Semiconductor: Warp - Lattice Semiconductor Corporation: ispLEVER - Mentor Graphics: FPGA Advantage - Quicklogic Corporation: QuickWorks ROBOT Đường Theo Vạch Page ĐỒ ÁN THIẾT KẾ LOGIC SỐ - Synplicity: Synplify Pro - Xilinx: ISE Tuy cơng cụ hãng có giao diện tương tác với người sử dụng khác nhiên tất có điểm chung cung cấp chức để tạo thực thiết kế PLD hãng Đây sơ đồ khối trình thiết kế với PLD ROBOT Đường Theo Vạch Page ĐỒ ÁN THIẾT KẾ LOGIC SỐ - Design entry: Đưa thiết kế vào công cụ phần mềm hãng cách kết hợp sơ đồ mạch (schematic capture), thiết kế HDL (Hardware Description Language) đồ hình trạng thái (State Machine flow diagram) - Design simulation: Khi thiết kế đưa vào công cụ, vấn đề mô để kiểm tra xem thiết kế có lỗi kơ so với u cầu đặt tốn ROBOT Đường Theo Vạch Page ĐỒ ÁN THIẾT KẾ LOGIC SỐ - HDL code synthesis: thường mức RTL, thiết kế HDL "tổng hợp" dạng mạch logic số theo (in terms of) cổng logic liên kết cổng logic (netlist) - Fit or Place and Route: Một cách đại khái, trình mapping thiết kế với tài nguyên phần cứng PLD, nghĩa xác định phần PLD thực chức thiết kế khối chức liên kết với - Configuration file generation: Tạo tệp cấu hình cho PLD 1.3 Robot đường theo vạch Robot tự hành hay robot di động (mobile robots, thường gọi tắt mobots) định nghĩa loại xe robot có khả tự dịch chuyển, tự vận động (có thể lập trìnhlại được) điền khiển tự động để thực thành công công việc giao Là dạng robot tự hành, robot đường theo vạch hoạt động theo nguyên tắc bám theo đường vạch (đen) mong muốn để tới đích tự quay đầu trở lại điểm xuất phát hết vạch Tuy nhỏ robot đường theo vạch khởi đầu cho robot tiên tiến sau Không thể không kể tới ứng dụng mà robot đường tích hợp như: nghiên cứu, tìm đường, vận chuyển… ROBOT Đường Theo Vạch Page ĐỒ ÁN THIẾT KẾ LOGIC SỐ Phần Hai: Thiết Kế Phần Cứng 2.1 Hệ thống cảm biến 2.1.1 Định nghĩa - Trong robot Một phần quan trọng robot hệ thống cảm biến Vậy cảm biến gì? + Cảm biến định nghĩa giác quan robot.Robot cần xác định trạng thái môi trường bên ngoài( vạch trắng, màu sắc chướng ngại vật….) để gửi trạng thái môi trường đến xử lý đưa phản ứng điều khiển robot để đối phó với kiện bên 2.1.2 Các loại cảm biến -Trong kỹ thuật robot người ta sử dụng nhiều loại cảm biến là: + Led hồng ngoại (Bộ phát thu hồng ngoại) + Quang điện trở (PHOTORESISTANCE) : cds cdse + Tế bào quang điện + Cảm biến công nghiệp + Camera số công nghệ xử lý ảnh Trong đề tài xin giới thiệu số thiết bị cảm biến thơng dụng ROBOT Đường Theo Vạch Page ĐỒ ÁN THIẾT KẾ LOGIC SỐ 2.1.3 Led hồng ngoại (Light Emiting Diode ) Hình 2.1 Ảnh thu phát hồng ngoại Led hồng ngoại loại cảm biến sử dụng nhiều giá thành rẻ 1.Cơ chế hoạt động ROBOT Đường Theo Vạch Page 10 ĐỒ ÁN THIẾT KẾ LOGIC SỐ Việc mắc diode kiểu làm hạn chế tác động tiêu cực tới IC L298 tất đầu vào input mức cao - mức khiến diode thông => gây tượng ngắn mạch nguy hiểm mạch điện => dễ làm cháy cầu H làm hỏng động 2.2.3 Khối nguồn điều khiển Cấp nguồn cho khối đèn – quang trở, khối opamp so sánh, khối phân áp ROBOT Đường Theo Vạch Page 23 ĐỒ ÁN THIẾT KẾ LOGIC SỐ Khối nguồn sử dụng IC : 7805 tạo điện áp chuẩn cung cấp cho IC L298, kít Arm Cortexhoạt động, IC 7809 để tạo điện áp đầu 9v cho động hoạt động Trên khối nguồn có J1 gồm chân nối hình vẽ để chuyển chế độ hoạt động cho mạch nguồn thông qua cầu nối nối chân 1-2 2-3 A, 7805 7809 Cả 7805 7809 thuộc loại để ổn định điện áp dương đầu với điều kiện đầu vào lớn đầu 3v Chúng có chân: 1: Vin – Chân nguồn đầu vào 2: GND – Chân nối đất chung 3: Vout – Chân nối nguồn đầu Dòng cực đại trì 1A, dòng đỉnh 2.2A Cơng suất tiêu tán ổn áp nối tiếp tính theo cơng thức sau: Ptiêu tán = ( Uin - U out) *I Trong đó: Uin - áp lối vào Uout – áp lối I – dòng sử dụng Cơng suất tiêu tán cực đại không dùng tản nhiệt 2W,công suất tiêu tán dùng tản nhiệt đủ lớn 15W vượt ngưỡng 78xx bị cháy, nên thực tế ta nên dùng công suất tiêu tán =1/2 giá trị Các giá trị khác khơng ROBOT Đường Theo Vạch Page 24 ĐỒ ÁN THIẾT KẾ LOGIC SỐ nên dùng gần giá trị max với thông số trên, tốt nên dùng

Ngày đăng: 04/05/2019, 21:02

Từ khóa liên quan

Mục lục

  • Lời Nói Đầu

  • Phần Một: Mục đích thiết kế đề tài

    • 1.1 Công cụ mô tả phần cứng VHDL

    • 1.2 Công cụ và ngôn ngữ lập trính FPGA

    • 1.3 Robot dò đường theo vạch

    • Phần Hai: Thiết Kế Phần Cứng

      • 2.1 Hệ thống cảm biến

        • 2.1.1 Định nghĩa

        • 2.1.2 Các loại cảm biến.

        • 2.1.3 Led hồng ngoại (Light Emiting Diode )

        • 2.1.4 Sơ đồ nguyên lý của bộ cảm biến :

        • 2.2. Mạch công suất điều khiển động cơ.

          • 2.2.1. Hoạt động của DC motor

          • 2.2.2 Mạch cầu H điều khiển động cơ

          • 2.2.3 Khối nguồn điều khiển

          • 2.3 Điều xung PWM, điều chỉnh vận tốc cho động cơ.

          • Phần Ba: Lập Trình Điều Khiển

            • 3.1.Giới thiệu về kit thử nghiệm CPLD COOLRUNNER – II 256 TQ144

            • 3.2.Lập trình trên KIT thử nghiệm

            • 3.3.Sơ đồ khối mạch điều khiển

            • 3.4 Cách bố trí cảm biển trên robot

            • 3.5 Trạng thái điều khiển cho động cơ

            • 3.6 CODE điều khiển

            • Phần Bốn: Kết Thúc Đề Tài

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan