ĐỒ án hệ THỐNG số THIẾT kế ROBOT dò ĐƯỜNG TRONG mê CUNG kết hợp điều KHIỂN từ XA QUA RF

27 216 0
ĐỒ án hệ THỐNG số THIẾT kế ROBOT dò ĐƯỜNG TRONG mê CUNG kết hợp điều KHIỂN từ XA QUA RF

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Đồ án hệ thống số ĐỒ ÁN HỆ THỐNG SỐ THIẾT KẾ ROBOT DÒ ĐƯỜNG TRONG MÊ CUNG KẾT HỢP ĐIỀU KHIỂN TỪ XA QUA RF Đồ án hệ thống số Menu Menu Chương 1: Tổng quan robot tự hành 1.1Giới thiệu robot tự hành .3 1.2.Mục tiêu 1.3.Nội dung Chương 2: Các thành phần phần cứng cấu trúc xe 2.1 Các thành phần phần cứng 2.1.1 Kit CPLD CoolRuner-II Starter Board .6 2.1.2 Thu phát hồng ngoại TCRT5000 2.1.3 Cầu H L293D 11 2.1.4 Module RF kênh 13 Chương 3: Thuật toán dò đường code .16 3.1 Sơ đồ khối tổng quát 16 3.2 Thuật toán dò đường 17 3.3 Code 18 Mục lục:Tài liệu tham khảo 26 Đồ án hệ thống số Chương 1: Tổng quan robot tự hành 1.1Giới thiệu robot tự hành Robot tự hành hay robot di động (mobile robots, thường gọi tắt mobots ) định nghĩa loại xe robot có khả tự dịch chuyển, tự vận động (có thể lập trình lại được) dưới điều khiển tự động để thực hiện thành công công việc được giao Theo lý thuyết, môi trường hoạt động robot tự hành đất, nước, khơ ng khí, khơng gian vũ trụ hay tổ hợp chúng Địa hình bề mặt mà robot di chuy ển phẳng thay đổi, lồi lõm Theo phận thực chuyển đ ộng, ta chia robot tự hành làm lớp: chuyển động chân chuyển động bánh.Trong lớp đầu tiên, chuyển động có nhờ chân khí bắt chước chuyển động người động vật Robot loại di chuyển tốt định hình lồi lõm, phức tạp Tuy nhiên, cách phối hợp chân vấn đề giữ vững tư cơng việc khó khăn Lớp còn lại (di chuyển bánh) tỏ thực tế hơn, chúng làm việc tốt hầu hết địa hình người tạo Điều khiến robot di chuyển bánh đơn giản nhiều, gần ln đảm bảo tính ổn định cho robot Lớp chia thành loại: loại chuyển động bánh xe, loại chuyển động vòng xích loại hỡn hợp bánh xích Tiềm robot tự hành rộng lớn Có thể kể đến robot vân chuyển vật liệu, hàng hóa nhà máy, tòa nhà, hàng, sân bay thư viện; robot phục vụ quét dọn đường phố; khoang chân không … Mặc dù ứng dụng cao hạn chế chưa giải robot tự hành chi phí chế tạo, đã khơng cho phép chúng sử dụng rộng rãi Một nhược điểm khác robot tự hành phải kể đến còn thiếu tính linh hoạt thích ứng làm việc ở vị trí khác Đồ án hệ thống số Bài tốn tìm đường robot tự hành khơng phảo loại toán đơn giản nhiều người nghĩ lúc ban đầu Trong đồ án môn học này, tốn tìm đường sẽ giải ở mức độ khơng q phức tạp Hình 1.1 số hình ảnh robot tự hành Dò đường (navigation) khoa học dẫn hướng robot tự hành di chuyển không gian làm việc Trong vấn đề dò đường, tốn quan tâm nhiều tìm đường đích mà khơng chạm vật cản đường Có hai tốn tìm đường cho robot: tốn cục (local) tốn tồn cục (global) Trong tốn tồn cục, bản đồ mơi trường làm việc robot hồn tồn biết trước, vấn đề tìm đường cho robot trước xuất phát Ở tốn cục bộ, mơi trường làm việc robot chưa biết chỉ biết phần, robot hồn tồn phải nhờ vào cảm nhận mơi trường thơng qua cảm biến gắn để dò đường Bài tốn Đồ án hệ thống số tồn cục tỏ rõ lợi ta biết trước đường tới đích hay khơng trước robot khởi hành Tuy lại có hạn chết đòi hỏi nhiều lệnh tính tốn nhớ, đặc biệt tình xấu xảy bản đồ mơi trường làm việc khơng khai báo xác, u cầu biết trước hồn tồn mơi trường làm việc nhược điểm Trong đó, robot tìm đường cục bô chỉ biết thông tin xung quanh qua sensor cảm nhận mơi trường gắn cùng Vì thế, robot tìm đường cục khơng hoản thành việc tới đích, khái niệm tối ưu khơng có ý nghĩa toán Tuy nhiên, dung lương nhớ khơi lượng tính tốn lại thấp Ngày nay, cách tiếp cận cục toàn cục ngày phổ biến, giúp robot tự hành tăng tính linh hoạt hiệu quả 1.2.Mục tiêu Mục tiêu đề tài thiết kế, thi công, điều khiển robot tự hành Robot tự hành hoạt động ổn định, tự tìm đường tới đích xác định mê cung, học nhanh chóng cách tìm đường thay đổi hình dạng mê cung, sử dụng thuật tốn tìm kiếm theo hướng ưu tiên rẽ hướng trước Sử dụng kit vi xử lý để xử lý thuật tốn dò đường 1.3.Nợi dung Nội dung nghiên cứu: Tìm hiểu kit CPLD: CoolRuner-II Starter Board Khảo sát phân tích tổng hợp: phân tích cách thức hoạt động robot tự hành theo thiết kế phận dò tìm Tìm hiểu mạch thu phát hồng ngoại TCRT5000 Khảo sát tính khả thi thuật tốn dò đường Thực nghiệm: kiểm tra tính ổn định robot, tối ưu code Đánh giá kết quả đạt Đồ án hệ thống số Chương 2: Các thành phần phần cứng cấu trúc xe 2.1 Các thành phần phần cứng 2.1.1 Kit CPLD CoolRuner-II Starter Board Hinh2.1 CoolRunner-II Starter Board Tính năng: Tần số cố định 8MHz dao động ổ cắm cho Dao động tinh thể Mở rộng kết nối cho 64 tín hiệu I / O (32 kết nối Pmod 32 song song kết nối) Một dây DS28E01Q EEPROM Một on-board cổng USB2 cho hội đồng quản trị điện, lập trình JTAG, liệu chuyển Đồ án hệ thống số Cấu hình: Mạch CollRunner-II CPLD phải cấu hình từ người sử dụng trước thực hiên bất kỳ chức Tập tin tao từ sơ đò tập tin mã nguồn HDL cách sử dụng ISE Phần mềm WebPack từ Xilinx, file cấu hình chuyển giao cho mạch CoolRunner-II sử dụng cáp USB phần mềm Xilinx iMPACT cách sử dụng cáp lập trình bên ngồi Sau cấu hình, CPLD giữ lại trạng thái vơ thời hạn Mạch CoolRunner-II đươc hỗ trợ hầu hết cấu hình CPLD có trước Một cấu hình nạp lúc sau cấu hình tải định nghĩa hành vi CPLD Nguồn cung cấp: Mạch CoolRunner-II cấp nguồn từ cổng USB tách rời từ ngồn cấp bên gắn vào kết nói JB3, jumper JB2 sử dụng mạch nguồn USB nguồn bên ngồi Nguồn bên ngồi định tuyến thơng qua điều Maxim LT3028 để sản xuất nguồn cung cấp điện áp (3.3V i/o 1.8V cho lõi) theo yêu cầu CPLD Bất mạch nguồn sử dụng sẽ có đền LED báo Mạch CoolRunner-II thiết kế từ mạch lớp với lớp bên dành riêng cho VCC GND Xung Clocks Bao gồm dao động tần số cố định 8MHz, gán tên PCLK sơ đồ mạch kết nối với pin GCLK2 CPLD (P38) để chuyển đến chia đồng hồ nội Kích thước ổ cắm nửa kích thước theo chuẩn DIP Dao động cung cấp điểm IC3 Chức I/O: Đồ án hệ thống số Mạch cung cấp nút bấm hai thiết bị chuyển mạch trượt đầu vào led màu đỏ chữ hiển thị cho kết quả đầu ra, Hình 2.2 Kết nối I/O Các nút bấm hoạt động ở mức tích cực thấp, thiết bị chuyển mạch gồm trở kháng ngắn mạch bảo vệ Các led hoạt động ở mức cao hình hiển thị bảy đoạn sử dụng tách riêng-tranzitor hoạt động mức cao.Ba led bổ sung cho nguồn USB (led4), bảng điện (led5), USB trạng thái (led6) Kết nối mở rộng: Mạch cung cấp modun thiết bị ngoại vi kết nối 12-pin mỗi kết nối cung cấp VDD GND, tín hiệu đặc biệt từ CPLD Mỡi kết nối chứa 12-pin 6-pin Pmods Một số 6-pin Pmods đính kèm kết nối kể cả loa, bảng Đồ án hệ thống số cầu H, bảng cảm biến, … Mạch còn cung cấp kết nối 40-pin mở rộng bao gồm ba cung cấp lượng tín hiệu 37 I/O cho tín hiệu Thiết lập: Thiết kế lập trình sẵn vào CPLD có số chức nhìn thấy thích hợp modun ngoại vi chèn vào cổng có liên quan Trong thiết kế mẫu, Pmod chuyển đổi vào cổng J8, Pmod vào J7 Pmod đoạn vào J5 J6 Tất nhiên thiết kế thay đổi đươc cổng khác CPLD thực cả hai truy cấp giải mã bàn phím PS/2 Màn hình hiển thị thiết bị chuyển mạch hai dựa giá trị SW4, SW1,2, không sử dụng thiết kế BTN0 hệ thống thiết lập lai cho việc thết kế 2.1.2 Thu phát hồng ngoại TCRT5000 Hình 2.3 Thu phát hồng ngoại TCRT-5000 TCRT5000 TCRT500L cảm biến phản xạ bao gồm emitter hồng ngoại phototransistor gói pha chì chặn ánh sáng nhìn thấy gói bao gồm hai đoạn gắn kết Đồ án hệ thống số Được sử dụng trường hợp: Cảm biến vị trí phát mã hóa trục vật liệu phản chiếu giấy, IBM thẻ, băng từ nơi khơng gian giới hạn Hình 2.4 Sơ đồ modun TCRC-5000 Thông số đầu vào: ICA7, D0 >D3 Các linh kiện PT2262 đưa vào việt Nam chỉ có loại PT2262 với địa chỉ mã hóa địa chỉ liệu Tương tự với PT2262 có kiểu PT2272 có kiểu: • PT2272 có địa chỉ giải mã liệu đầu thường kí hiệu: T2272 - L4 • PT2272 có địa chỉ giải mã giữ liệu ra: kí hiệu PT2272 - L6 PT2262 có " mũ 12 " mã hóa tức mã hóa 531441 mã trùng lặp lại So với thằng anh HT12E đời trước trội hẳn khoản mật mã Cách mã hóa PT2262 làm cách nối ngắn mạch chân "mã hóa địa chỉ " lên dương nguồn (mã hóa +) xuống âm nguồn (mã hóa -) Dữ liệu + mã hóa truyền khung 12 bit gồm bit đầu mã hóa (A0 >A7 ) liệu Bởi bạn truyền song song bit liệu Nếu để truyền liệu nên để mặc định cho chân liệu là cách nối Đồ án hệ thống số thêm điện trở " kéo lên " " đưa xuống GND) để tránh nhiễu PT2262 dùng dao động ngoài: đơn giản chỉ cần lắp thêm điện trở dao động vào chân 15 chân 16 PT 2262 + Tín hiệu encoder đưa ở chân 17 PT2262, chân thường ở mức tín hiệu nghỉ mức tín hiệu hoạt động Tín hiệu đưa gồm : sóng mang dao động < 700KHz + địa chỉ mã hóa + liệu + Tần số Sóng mang dao động định bởi R chân 15 16 tính : f = R/12 Ví dụ : mắc điện trở 470k vào chân 15 16 đầu chân 17 sẽ có 470/12 = khoảng 39Khz ( làm điều khiển truyền liệu hồng ngoại với PT2262 nhé ) (PT2262 có điện áp rộng : Có thể làm việc từ 2,5V đến 15 V Trong datasheet từ V qua thời gian dài làm việc nghiên cứu khẳng định điện áp làm việc thấp - " đã từng chế tạo xuất xưởng gần vạn mạch sử dụng cặp PT2262 PT2272 để làm điều khiển " PT2272 giải mã PT2262 có địa chỉ giải mã tương ứng + liệu + chân báo hiệu mã VT ( chân 17 ) Cách giải mã sau : Chân 15 16 cần điện trở để làm dao động giải mã Trong dải hồng ngoại 100KHz dùng R lớn không cần Nhưng từ khoảng 100KHz dao động trở lên - bắt buộc phải dùng R để tạo dao động cho PT2272 Giá trị R PT2272 sẽ khoảng : ( Giá trị R PT2262) chia cho 10 -> ví dụ : PT2262 mắc điện trở 4,7 megaom PT2272 sẽ mắc 470k Giải mã : chân mã hóa PT2262 ( chân đến chân ),nối chân giải mã PT2272cũng phải nối tương tự Chân nối dương, chân nối âm, chân bỏ trống v.v chân ( đến )của PT2272 hãy làm Khi truyền mã giải mã chân 17 PT2272 sẽ có điện áp cao đưa ra, báo hiệu đã mã hóa chân liệu truyền song song, nối tiếp động lập Tóm lại bạn xài cặp bạn làm mạch RF lấy data ở ngõ pt2262 trả lại Đồ án hệ thống số data cho pt2272, toàn quyền xử lý(có thể nối với vi điều khiển) mua mạch RF có bán sẵn nối với cặp pt xong Sơ đồ mạch phát dùng PT2262: Hình 2.9 Sơ đồ phát PT2262 Sơ đồ mạch thu dùng PT2272: Hình 2.10 Sơ đồ thu PT2272 Đồ án hệ thống số 2.2 Cấu trúc khí của xe Sử dụng hai động DC chiều mỗi động điều khiển cặp bánh xe có sử dụng bánh để tạo giảm tốc nhanh Kit CoolRunner-II sử dụng để nhận tín hiệu từ modul Hồng ngoại xuất tín hiệu điều khiển động DC (tiến, lùi, rẽ …) Modul Hồng ngoại gắn hướng xe, phía bên trái lắp modun nhằm tránh xe quay ln nhìn thấy ngã rẽ Điều khiển xe từ tín hiệu RF Chương 3: Thuật toán dò đường code 3.1 Sơ đồ khới tổng qt Sơ đồ khối tổng qt: Hình 3.1 Sơ đồ tổng quát Mục tiêu: Đồ án hệ thống số Xác định ma trận mê cung bất kỳ lối vào lối Xây dựng mơ hình robot tự hành có động di chuyển, động chỉnh góc quay cảm biến Sử dụng cảm biến siêu âm Kết quả: robot di chuyển, nhận tín hiệu từ cảm biến điều chỉnh hướng đi, vào từ lối vào từ lối ma trận 3.2 Thuật toán dò đường Sử dụng modun thu phát hồng ngoại để xác nhận khoảng cách từ hướng trái, phải, Tín hiệu từ biến truyền tới kit CPLD xác nhận đưa tín hiệu chân động DC Ưu tiên rẽ trái đến thẳng rẽ phải cả hướng không quay đầu Lưu đồ thuật tốn: Sơ đồ mê cung đơn giản Hình 3.3 Sơ đồ mê cung đơn giản Đồ án hệ thống số 3.3 Code - Company: the fisrt Engineer: vando - Create Date: 09:05:07 03/22/2013 Design Name: probe line robot Module Name: code2013_03_22 - Behavioral Project Name: final Target Devices: Tool versions: Description: - Dependencies: - Revision: Revision 0.01 - File Created Additional Comments: library IEEE; use IEEE.STD_LOGIC_1164.ALL; Đồ án hệ thống số use ieee.std_logic_unsigned.all; entity code2013_03_22 is port ( rf1 : in std_logic; rf2 : in std_logic; rf4 : in std_logic; rf3 : in std_logic; chon: in std_logic; sensor1 : in std_logic; sensor2 : in std_logic; sensor3 : in std_logic; sensor4 : in std_logic; clk : in std_logic; left1 : out std_logic; left2 : out std_logic; right1 : out std_logic; right2 : out std_logic ); end code2013_03_22; architecture Behavioral of code2013_03_22 is signal clk1 : std_logic; Đồ án hệ thống số begin process (clk) variable tre1 :integer range to 100000000; variable tre2 :integer range to 100000000; variable tre3 :integer range to 100000000; variable tre4 :integer range to 100000000; begin if (clk'event and clk ='1') then 3-4 if( chon ='1' )then if (sensor3 ='1' and sensor4 ='1') then tre1 := tre1 +1; tre2:=0; tre3:=0; if (tre1 >= 2400000 and tre1

Ngày đăng: 04/05/2019, 20:38

Từ khóa liên quan

Mục lục

  • Menu

  • Chương 1: Tổng quan về robot tự hành

    • 1.1Giới thiệu về robot tự hành

    • 1.2.Mục tiêu

    • 1.3.Nội dung

  • Chương 2: Các thành phần phần cứng và cấu trúc xe

    • 2.1. Các thành phần phần cứng

      • 2.1.1. Kit CPLD CoolRuner-II Starter Board

      • 2.1.2. Thu phát hồng ngoại TCRT5000

      • 2.1.3. Cầu H L293D

      • 2.1.4. Module RF 4 kênh

  • Chương 3: Thuật toán dò đường và code

    • 3.1 Sơ đồ khối tổng quát

    • 3.2 Thuật toán dò đường

    • 3.3. Code

  • Mục lục:Tài liệu tham khảo

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan