THIẾT kế ALU 32BIT THỰC HIỆN các LỆNH AND, OR, XOR, NOR, ADD, SUB (có code)

31 1K 5
THIẾT kế ALU 32BIT THỰC HIỆN các LỆNH AND, OR, XOR, NOR, ADD, SUB (có code)

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

THIẾT kế ALU 32BIT THỰC HIỆN các LỆNH AND, OR, XOR, NOR, ADD, SUB (có code) THIẾT kế ALU 32BIT THỰC HIỆN các LỆNH AND, OR, XOR, NOR, ADD, SUB (có code) THIẾT kế ALU 32BIT THỰC HIỆN các LỆNH AND, OR, XOR, NOR, ADD, SUB (có code) THIẾT kế ALU 32BIT THỰC HIỆN các LỆNH AND, OR, XOR, NOR, ADD, SUB (có code)

THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB MỤC LỤC DANH MỤC CÁC HÌNH VẼ IV DANH MỤC CÁC BẢNG BIỂU V DANH MỤC CÁC TỪ VIẾT TẮT VI CHƯƠNG CƠ SỞ LÝ LUẬN 1.1 GIỚI THIỆU VỀ VERILOG 1.1.1 Quá trình phát triển 1.1.2 Những đặc tính Verilog 1.1.3 Ngôn ngữ Verilog 1.2 CÁC CỔNG LOGIC CƠ BẢN 1.2.1 Cổng AND (toán tử “và”) .5 1.2.2 Cổng OR (toán tử + “hoặc”) 1.2.3 Cổng NOR 1.2.4 Cổng X-OR 1.3 ARITHMETIC LOGIC UNIT (ALU) 1.4 CENTRAL PROCESSING UNIT (CPU) 1.4.1 Bộ điều khiển (CU-Control Unit) 1.4.2 Bộ số học – Logic 10 1.4.3 Tốc độ 10 1.5 PHẦN MỀM QUARTUS II 10 CHƯƠNG THIẾT KẾ 12 2.1 DẪN NHẬP THIẾT KẾ 12 2.2 TESTBENCH TRONG VERILOG .12 2.3 MÔ PHỎNG 12 2.4 QUÁ TRÌNH THIẾT KẾ .13 2.4.1 Sơ đồ khối 13 2.4.2 Lưu đồ giải thuật .14 2.5 NGUYÊN LÝ HOẠT ĐỘNG 15 CHƯƠNG KẾT QUẢ MÔ PHỎNG 17 3.1 BỘ CỘNG 17 3.2 MUX 5-1 18 3.3 ALU BIT .19 3.4 ALU BIT .20 3.5 ALU BIT .20 CHƯƠNG KẾT LUẬN 21 CHƯƠNG TÀI LIỆU THAM KHẢO 22 PHỤ LỤC 23 DANH MỤC CÁC HÌNH VẼ HÌNH 1-1:KÝ HIỆU GIẢN ĐỒ CỦA ALU 17 HÌNH 1-2: GIAO DIỆN PHẦN MỀN QUARTUS II 9.0 .20 HÌNH 2-1: BỘ CỘNG ĐẦY ĐỦ 24 HÌNH 2-2: BỘ ALU 1BIT 24 HÌNH 3-1: SƠ ĐỒ NGUYÊN LÝ CỦA BỘ CỘNG BIT 27 HÌNH 3-2: SƠ ĐỒ NGUYÊN LÝ BỘ MUX 5-1 28 HÌNH 3-3: SƠ ĐỒ NGUYÊN LÝ CỦA ALU BIT 28 HÌNH 3-4: SƠ ĐỒ NGUYÊN LÝ ALU BIT .29 HÌNH 3-5: SƠ ĐỒ NGUYÊN LÝ CỦA ALU BIT 29 HÌNH 3-6: SƠ ĐỒ NGUYÊN LÝ CỦA ALU 32 BIT 29 DANH MỤC CÁC BẢNG BIỂU BẢNG 1-1: GIẢN ĐỒ ĐIỆN THẾ CÁC MỨC LOGIC HỌC TTL 13 BẢNG 1-2: BẢNG SỰ THẬT CỔNG AND 14 BẢNG 1-3: BẢNG SỰ THẬT CỔNG OR 15 BẢNG 1-4: BẢNG SỰ THẬT CỔNG NOR 16 BẢNG 1-5: BẢNG SỰ THẬT CỔNG X-OR 17 BẢNG 3-1: BẢNG SỰ THẬT CỦA BỘ CỘNG BIT 26 BẢNG 3-2: BẢNG SỰ THẬT CỦA MUX 5-1 .27 DANH MỤC CÁC TỪ VIẾT TẮT Trang 1/25 CHƯƠNG CƠ SỞ LÝ LUẬN 1.1 Giới thiệu Verilog Khi kích thước độ phức tạp hệ thống thiết kế ngày tăng cao, nhiều cơng cụ hỗ trợ thiết kế máy tính sử dụng rộng rãi vào trình thiết kế phần cứng Vào thời kì đầu, cơng cụ mơ tạo phần cứng giúp đưa phương pháp thiết kế, phân tích, kiểm tra, tổng hợp tự động tọa phần cứng cách phức tạp Sự phát triển không ngừng công cụ thiết kế cách tự động phát triển ngôn ngữ mô tả phần cứng HDLs phương pháp thiết kế hệ thống số dựa ngôn ngữ Hiện người ta tiếp tục nghiên cứu ngôn ngữ mô tả phần cứng tốt ngôn ngữ sử dụng rộng rãi để mơ tả phần cứng ngôn ngữ Verilog HDL Do phổ biến ngành công nghiệp thiết kế số, Verilog trở thành kiến thức đòi hỏi phải biết với sinh viên kĩ sư học tập làm việc lĩnh vực phần cứng máy tính 1.1.1 Q trình phát triển Được đời vào đầu năm 1984 Gateway Design Automation Ban đầu, ngôn ngữ dùng công cụ để mô kiểm tra Sau thời gian ngôn ngữ chấp nhận ngành công nghiệp điện tử, vào năm 1987 công cụ tổng hợp xây dựng phát triển dựa ngôn ngữ Cũng năm 1987, VHDL trở thành chuẩn ngôn ngữ môt tả phần cứng IEEE Năm 1993, nổ lực nhằm chuẩn hóa ngơn ngữ Verilog bắt đầu trở thành chuẩn: IEEE, IEEE Std 1364-1995 Với công cụ tổng hợp, công cụ mô phỏng, công cụ thiết kế, công cụ phân tích thời gian dựa Verilog có sẳn, chuẩn Verilog IEEE nhanh chóng chấp nhần sâu rộng cộng đồng thiết kế điện tử THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 2/25 Một phiên vào năm 2001 chấp nhận IEEE dùng hầu hết người sử dụng người phát triển công cụ Những điểm cho phép bên ngồi có khả đọc ghi liệu, quản lý thư viện, xây dựng cấu hình thiết kế, hỗ trợ cấu trúc có mức độ trừu tượng cao hơn, cấu trúc mơ tả lặp lại Các q trình cải tiến chuẩn tiếp tục phát triển với tài trợ IEEE 1.1.2 Những đặc tính Verilog Verilog ngơn ngữ mơ tả phần cứng dùng để mô tả từ mức transistor đến mức hành vi Ngôn ngữ hỗ trợ cấu trúc định thời cho việc mô mức đọ chuyển mạch tức thời Một mô tả thiết kế Verilog bao gồm trộn lẫn khối khác với nhiều chi tiết 1.1.3 Ngôn ngữ Verilog Ngơn ngữ Verilog HDL đáp ứng tất yêu cầu cho việc thiết kế tổng hợp hệ thống số Ngôn ngữ hỗ trợ mô tả cấu trúc phân cấp phần cứng từ mức độ hệ thống đến mức cổng đến mức công tắc chuyển mạch Verilog hỗ trợ tất mức độ mô tả việc định thời phát lỗi Trong Verilog, linh kiện phần cứng mô tả cấu trúc ngôn ngữ “khai báo module” Mô tả module mô tả danh sách ngõ vào ngõ linh kiện ghi hệ thống bus linh kiện Bên module, phép gán đồng thời khối quy trình dùng đễ mơ tả phần cứng Nhiều mơ dule gọi cách phân cấp để hình thành cấu trúc mô tả phần cứng khác Những phần tử việc mơ tả thiết kế phân cấp module, linh kiện linh kiện người dùng tự định nghĩa Để mô cho thiết kế, phần tử cấu trúc phân cấp nên tổng hợp cách riêng lẻ Verilog thường dùng để mô tả thiết kế bốn dạng: THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 3/25 - Thuật tốn (một số lệnh giống ngơn ngữ C như: if, case, for, while, ) - Chuyển đổi ghi (kết nối biểu thức Boolean) - Các cổng kết nối (cổng: or, and, not,…) - Chuyển mạch (BJT, MOSTFET) Cấu trúc chương trình dùng ngơn ngữ Verilog: //khai báo module Module tên chương trình (tên biến I/O); //tên chương trình trùng tên file.v Input [msb:lsb] biến; Output [msb:lsb] biến; Reg [msb:lsb] biến reg; Wire [msb:lsb] biến wire; …Các lệnh… Endmodule 1.2 Các cổng logic Cổng logic sở phận ngôn ngữ Verilog Có hai đặc tính rõ là: drive_strenght delay Drive_strenght: sức bền cổng Độ bền đầu kết nối chiều đến nguồn, kế tạo kết nối suốt transistor dẫn, kết thúc tổng trở kéo lên kéo xuống Drive_trenght thường không rõ trường hợp độ bền mặc định strong1 strong0 Delay: delay khơng rõ cổng khơng có trì hỗn truyền tải, có hai delay định, trước tiên mơ tả trì hỗn lên, sau trì hỗn xuống có delay định, trì hoãn lên xuống Delay bỏ qua tổng hợp Các tham số cho cổng phải định nghĩa trước delay Biểu diễn trạng thái Logic người ta thường gán: THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 4/25 - Logic dương: điện cao -> Logic 1; điện thấp -> Logic Thực tế, mức Logic mức Logic tương ứng với khoảng điện xác định, có khoảng chuyển tiếp mức cao mức thấp gọi khoảng không xác định (ngưỡng logic) Khoảng tùy thuộc vào họ IC sử dụng cung cấp bảng thông số kỹ thuật - Logic âm ngược lại Bảng 1-1: Giản đồ điện mức Logic học TTL 5V 2.4V Mức Không xác định 0.7V 0V Mức THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 11/25 - Môi trường thiết kế gồm các: sơ đồ khối, vẻ, công cụ soạn thảo ngôn ngữ như: AHDL, VHDL Verilog HDL - Thiết kế Logic, tổng hợp Logic - Mô chức thời gian - Phân tích thời gian, phân tích Logic nhúng - Cho phép xuất, tạo kết nối file nguồn để tạo file chương trình lớn - Tự động định vị lỗi, khả lập trình nhận diện linh kiện Hình 1-2: Giao diện phần mền Quartus II 9.0 Quartus II cho phép làm việc với nhiều file thời điểm, soạn thảo file thiết kế chạy mô hay biên dịch dự án khác Công cụ biên dịch cung cấp quy trình thiết kế mạnh, cho phép tùy biến để đạt thiết kế tối ưu dự án Công cụ định vị lỗi tự đông cảnh báo giúp việc phát sửa lỗi trở nên đơn giản THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 12/25 CHƯƠNG THIẾT KẾ 2.1 Dẫn nhập thiết kế Bước thiết kế hệ thống số bước dẫn nhập thiết kế Trong bước này, thiết kế mô tả Verilog theo phong cách phân cấp từ cao xuống thấp thiết kế hoàn chỉnh bao gồm nhiều linh kiện mức cổng transistor Những module phần cứng có chức phức tạp mô tả mức độ hành vi linh kiện liệt kê cấu trúc bus Những phát biểu gọi sử dụng khối Verilog thiết kế sẳn dùng cho linh kiện với mức thấp thiết kế mức độ cấp cao Thay mơ tả mức độ hành vi, bus chức môt hệ thống, mơ tả hệ thống Verilog cách kết nối linh kiện mức độ thấp Những linh kiện nhỏ nhu transistor hay cổng Logic, lớn vi xử lý hoàn chỉnh 2.2 Testbench Verilog Một hệ thống thiết kế dùng Verilog phải mô kiểm tra xem thiết kế chức hay chưa trước tạo phần cứng q trình chạy mơ này, lỗi thiết kế khơng tương thích linh kiện dung thiết kế phát Chạy mơ thiết kế đòi hỏi việc tạo liệu ngõ vào để kiểm tra trình quan sát kết sau chạy mô phỏng, liệu dùng để kiểm tra gọi testbench 2.3 Mô Chạy mô nằm việc đánh giá thiết kế thực hiên trước thiết kế tổng hợp Bước chạy mô hiểu mô mức độ hành vi, RTL hay tiền tổng hợp Ở mức độ RTL, thiết kế bao gồm xung thời gian THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 13/25 khơng bao gồm trì hoãn thời gian cổng dây kết nối Thời gian chạy mơ mức độ RTL xác theo tín hiệu xung clock, khơng quan tâm đến vấn đề khác như: nguy hiểm tìm ẩn khiến thiết bị lỗi, vi phạm thời gian, hold tín hiệu ngõ vào vấn đề liên quan đến định thời khác Ưu điểm việc mô tốc độ chạy mô nhanh so với chạy mô mức cổng mức transistor 2.4 Q trình thiết kế 2.4.1 Sơ đồ khối Mơ tả phần cứng Verilog Dùng testbench để tạo dạng sóng Tổng hợp đưa hàm boole, rút gọn biểu thức Kiểm tra chức Nạp lên kit để kiểm tra THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 14/25 2.4.2 Lưu đồ giải thuật Thiết kế đầu vào Tổng hợp Mô chức Đúng/Sai sai Nạp lên kit Mô Kiểm tra chức Đúng/Sai sai Lập trình Tạo layout để chế tạo chip THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 15/25 2.5 Nguyên lý hoạt động Mục tiêu thiết kế ALU 32-bit có thực phép tốn AND, OR, XOR, NOR, ADD, SUB Ta bắt đầu với cộng đầy đủ (full adder) hình bên Hình 2-1: Bộ cộng đầy đủ Để lựa chọn kết cho cho phép toán, ta đưa ngõ mạch đến MUX tín hiệu chọn lựa trích từ mã lệnh lệnh (ADD, AND, OR, XOR, NOR) Ta khối ALU dùng tính cho bit Hình 2-2: Bộ ALU 1BIT THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 16/25 Về phần ý nghĩa tên tín hiệu sau: A: toán hạng B: toán hạng Cin: giá trị cờ nhớ vào (Carry In) Cout: giá trị cờ nhớ (Carry Out) Result: kết sau tính tốn ALU hỗ trợ phép trừ ý tưởng để giải thực cộng với bù A - B = A + (bù B ) = A + (bù B + 1) Ta có bù B cách cho B qua cổng NOT, giá trị +1 thêm vào nhận từ CarryIn ngõ vào 1-bit ALU hỗ trợ phép toán ADD, SUB, AND, OR, XOR, NOR Để thực phép trừ 1-bit gán tín hiệu ADD/SUB giá trị Thực ALU 32-bit tương tự ghép 32 khối ALU 1-bit lại với • • • Để trừ gán B-invert Cin giá trị Để thực cộng cho ADD/SUB giá trị Để AND, OR, XOR, NOR gán ADD/SUB giá trị Cin khơng cần quan tâm Như ta hoàn tất ALU 32-bit có hỗ trợ ADD, SUB, AND, OR, XOR NOR THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 17/25 CHƯƠNG KẾT QUẢ MÔ PHỎNG 3.1 Bộ cộng Bảng 3-1: Bảng thật cộng BIT A B CIN CO S 0 0 0 1 0 1 1 0 1 1 1 1 1 1 S=A^B^CIN CO=(A&B)|(A&CIN)|(B&CIN) THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 18/25 Hình 3-1: Sơ đồ nguyên lý cộng BIT 3.2 MUX 5-1 Bảng 3-2: Bảng thật MUX 5-1 S2 0 0 S1 0 1 THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB S0 1 Z Z0 Z1 Z2 Z3 Z4 Trang 19/25 Hình 3-2: Sơ đồ nguyên lý MUX 5-1 3.3 ALU BIT Hình 3-3: Sơ đồ nguyên lý ALU BIT THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 20/25 3.4 ALU BIT ALU1:u0 a[3 0] b[3 0] as ci (GND) op[2 0] ALU1:u1 a ALU1:u2 a b cin as s b co cin as op[2 0] ALU1:u3 a s b co cin a as op[2 0] s b co cin s op[2 0] co co as op[2 0] s[3 0] Hình 3-4: Sơ đồ nguyên lý ALU BIT 3.5 ALU BIT ALU4:p1 as a[7 0] b[7 0] op[2 0] ci (GND) ci as a[3 0] b[3 0] op[2 0] ALU4:p2 s (GND) co (GND) ci as a[3 0] b[3 0] s (GND) co co (GND) 3' h7 op[2 0] 3' h7 Hình 3-5: Sơ đồ nguyên lý ALU BIT THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB s[7 0] Trang 21/25 3.6 ALU 32 BIT ALU8:p1 as ALU8:p2 ci as a[31 0] b[31 0] op[2 0] ci (GND) a[7 0] b[7 0] op[2 0] co (GND) ci s[7 0] as a[7 0] b[7 0] ALU8:p3 co (GND) ci s[7 0] as op[2 0] a[7 0] ALU8:p4 co (GND) ci s[7 0] as b[7 0] op[2 0] a[7 0] b[7 0] co (GND) co s[7 0] op[2 0] s[31 0] Hình 3-6: Sơ đồ nguyên lý ALU 32 BIT CHƯƠNG KẾT LUẬN Đề tài “Thiết kế ALU 32-bit thực hiên lệnh AND, OR, XOR, NOR, ADD, SUB” thực theo yêu cầu đề ra, điều hạn chế chưa cải thiện tối ưu code Thông qua việc thực đề tài để bồi dưỡng kiến thức khoa học kỹ thuật giúp khả thiết kế, cải tiến trang bị thông tin đáp ứng yêu cầu ngày đại trang bị kỹ thuật, bước tiếp cận công nghệ tiên tiến, khoa học đại giới Nâng cao trình độ chuyên môn nghiệp vụ, khả nghiên cứu khoa học Tuy nhiên điều kiện thời gian khả nhiều hạn chế nên đề tài chưa thể hoàn thiện Còn nhiều khiếm khuyết, mong quan tâm, góp ý thầy bạn Hướng phát triển đề tài: thêm chức cờ báo (Zero flag), hỗ trợ thêm lệnh dịch trái dịch phải, thiết kế CPU THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 22/25 CHƯƠNG TÀI LIỆU THAM KHẢO [1] IEEE Standard for Verilog Hardware Description Language, 2006 [2] Verilog Digital System Design, Second Edition, McGraw-Hill [3] The Complete Verilog Book, Vivek Sagdeo, Sun Micro System, Inc [4] TS Vũ Đức Lung, ThS Lâm Đức Khải, Ks Phan Đình Duy (2012), Giáo trình ngơn ngữ mơ tả phần cứng Verilog, Trường đại học Công Nghệ Thông Tin, Đại học quốc gia TP.HCM THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 23/25 PHỤ LỤC Code mô cộng đầy đủ: module FA (a,b,ci,s,co); input a,b,ci; output s,co; assign s = a^b^cin; assign co = (a&cin)|(b&cin)|(a&b); endmodule Code mô MUX 5-1: module MUX51(m0,m1,m2,m3,m4,op,result); input m0,m1,m2,m3,m4; input [2:0] op; output result; reg result; always @(op) begin if (op == 3'b000) result = m0; else if (op == 3'b001) result = m1; else if (op == 3'b010) result = m2; else if (op == 3'b011) result = m3; else if (op == 3'b100) result = m4; end endmodule Code mô khối ALU 1BIT: module ALU1(a,b,cin,as,op,s,co); input a,b,cin,as; input [2:0] op; output s,co; wire i0,i1,i2,i3,i4,i5; assign i0=a&b; assign i1=a|b; assign i2=a^b; THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 24/25 assign i3=~(a|b); assign i5=b^as; FA FA (a,i5,ci,i4,co); MUX51 MUX51 (i0,i1,i2,i3,i4,op,s); endmodule Code mô khối ALU 4BIT: module ALU4(a,b,ci,as,op,s,co); input [3:0]a,b; input ci,as; input [2:0]op; output [3:0]s; output co; wire n0,n1,n2,n3,s0,s1,s2,s3; ALU1 u0 (a[0],b[0],as,as,op,s[0],n0); ALU1 u1 (a[1],b[1],n0,as,op,s[1],n1); ALU1 u2 (a[2],b[2],n1,as,op,s[2],n2); ALU1 u3 (a[3],b[3],n2,as,op,s[3],co); endmodule Code mô khối ALU 8BIT: module ALU8 (a,b,ci,as,op,s,co); input [7:0]a,b; input [2:0]op; input ci,as; output [7:0]s; output co; wire c8; ALU4 p1 (a[3:0],b[3:0],as,as,op,s[3:0],c8), THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 25/25 p2 (a[7:4],b[7:4],c8,as,op,s[7:4],co); endmodule Code mô khối ALU 32BIT: module ALU32 (a,b,ci,as,op,s,co); input [31:0]a,b; input [2:0]op; input ci,as; output [31:0]s; output co; wire c1,c2,c3; ALU8 p1 (a[7:0],b[7:0],as,as,op,s[7:0],c1), p2 (a[15:8],b[15:8],c1,as,op,s[15:8],c2); p3 (a[24:16],b[24:16],c2,as,op,s[24:16],c3); p4 (a[32:25],b[32:25],c3,as,op,s[32:25],co); endmodule THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB ... tạo chip THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 15/25 2.5 Nguyên lý hoạt động Mục tiêu thiết kế ALU 32-bit có thực phép tốn AND, OR, XOR, NOR, ADD, SUB Ta bắt... giản THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR, NOR, ADD, SUB Trang 12/25 CHƯƠNG THIẾT KẾ 2.1 Dẫn nhập thiết kế Bước thiết kế hệ thống số bước dẫn nhập thiết kế Trong bước này, thiết kế. .. ADD /SUB giá trị Để AND, OR, XOR, NOR gán ADD /SUB giá trị Cin khơng cần quan tâm Như ta hoàn tất ALU 32-bit có hỗ trợ ADD, SUB, AND, OR, XOR NOR THIẾT KẾ ALU 32BIT THỰC HIỆN CÁC LỆNH AND, OR, XOR,

Ngày đăng: 21/01/2018, 20:25

Tài liệu cùng người dùng

Tài liệu liên quan