Nghiên cứu tìm hiểu các dạng điều chế tín hiệu

122 409 0
Nghiên cứu tìm hiểu các dạng điều chế tín hiệu

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

LỜI NÓI ĐẦU Ngày khoa học kỹ thuật phát triển nhanh chóng, để áp dụng công nghệ đòi hỏi người làm khoa học phải cập nhập thành tựu khoa học kỹ thuật Việc áp dụng công nghệ tiết kiệm thời gian, công sức phát huy hết khả thành tựu khoa học vào ứng dụng thực tế Cùng với phát triển công nghệ FPGA khái niệm vô tuyến cấu hình mềm (SDR) ngày trở nên phổ biến Với ưu điểm vượt trội so với vô tuyến cấu hình cứng truyền thống đặc biệt quân Nó tăng khả tích hợp giúp cho thiết bị hoạt động nhiều chế độ mà giảm kích thước, trọng lượng, công suất tiêu thụ thời gian thiết kế giá thành sản phẩm, dễ dàng nâng cấp thay đổi cấu hình Với đời công nghệ FPGA công nghệ FPGA ứng dụng rộng rãi hệ thống truyền thông nói chung thiết bị vô tuyến cấu hình mềm Chính em lựa chọn đồ án là: “Thiết kế máy thu cấu hình mềm ứng dụng công nghệ FPGA” Mục tiêu đồ án tìm hiểu cấu trúc hệ vô tuyến cấu hình mềm (SDR), ưu hệ thống khả phát triển thực tế tương lai Trên sở hệ SDR thực hành thiết kế hệ máy thu, máy phát số ứng dụng lĩnh vực thông tin vô tuyến với việc ứng dụng công nghệ ASIC / FPGA Phương pháp thiết kế kết hợp công cụ phần mềm thiết kế, mô chuyên dụng Matlab, ISE, System Generator,… để triển khai, thiết kế đánh giá mô hình xử lý tín hiệu xây dựng FPGA Nội dung đồ án gồm chương: Chương 1: Tổng quan vô tuyến cấu hình mềm (SDR) Nội dung chương giới thiệu hệ thống vô tuyến cấu hình mềm Các giai đoạn phát triển, tổng quan kỹ thuật SDR khả ứng dụng SDR thông tin dân thông tin quân Chương 2: Cơ sở lý thuyết điều chế, giải điều chế tương tự, điều chế giải điều chế số Trong chương nêu sở lý thuyết chung máy thu máy phát, dạng điều chế, giải điều chế tương tự, điều chế giải điều chế số Chương 3: Công nghệ FPGA giải pháp thiết kế công nghệ FPGA Nội dung chương giới thiệu tổng quan công nghệ FPGA Trên sở lý thuyết trình bày chương đưa giải pháp thiết kế mô hình điều chế, giải điều chế AM, SSB, FM, BFSK, 8PSK, 16QAM phần mềm Chương 4: Thực thiết kế phần mềm thử nghiệm phần cứng Nội dung chương giới thiệu phần cứng, thực thiết kế phần mềm tải cấu hình vào phần cứng so sánh với kết mô phần mềm Do thời gian hạn chế nên đồ án không tránh khỏi thiếu sót Rất mong nhận ý kiến đóng góp phản hồi thầy cô giáo khoa môn bạn yêu thích lĩnh vực để đồ án đạt chất lượng tốt Để thực hoàn thành đồ án, trước hết, em xin bày tỏ lòng biết ơn chân thành tới thầy giáo, TS Lê Hải Nam, người theo sát, định hướng tạo điều kiện mặt cho em suốt trình làm đồ án Em xin chân thành cảm ơn thầy cô giáo Khoa VTĐT kiến thức ý kiến góp ý quý báu CHƯƠNG TỔNG QUAN VỀ VÔ TUYẾN CẤU HÌNH MỀM (SDR) 1.1 Khái niệm hệ thống SDR Khái niệm hệ thống vô tuyến cấu hình mềm (SDR) Joe Mitola đưa năm 1991, nghiên cứu loại thiết bị vô tuyến bắt đầu từ thập kỷ 70 kỷ 20 Tên gọi ban đầu “Software Radio” có tên gọi khác “Re-programmable radios” hay “ Re-configurable radios” tức thiết bị vô tuyến tái cấu hình hay tái lập trình Tên gọi thay đổi theo thời gian ứng dụng sử dụng Đó thiết bị vô tuyến có tính linh hoạt hoạt động, điều khiển nhiều lớp vật lý cấu trúc, hoạt động với nhiều dạng tín hiệu với nhiều thủ tục khác chế độ hoạt động khác SDR hệ thiết bị vô tuyến ứng dụng công nghệ nhằm thực kỹ thuật thông tin liên lạc, thiết bị đa băng, đa chế độ với khả hoạt động xác định qua việc lập trình với cấu trúc mở hệ thống Như chất thiết bị thông tin ứng dụng công nghệ SDR tính tuỳ biến thông qua phần mềm phần mềm hoạt động tảng phần cứng thiết kế tối ưu So với thiết bị thông tin thông thường, thiết bị vô tuyến cấu hình mềm có ưu điểm dễ thích ứng với nhiều tiêu chuẩn khác nhau, có dải tần công tác rộng, cung cấp nhiều chế độ làm việc đặc biệt linh hoạt trình sử dụng Với thiết bị vô tuyến truyền thống, cấu hình xác định (cấu hình cứng) chúng có sử dụng phần mềm điều khiển, tạo cho chức xác định, công tác số chế độ, dạng cụ thể, điều kiện cụ thể Thời gian sử dụng chúng theo ngắn linh kiện sử dụng hết tuổi thọ yêu cầu sử dụng thay đổi nhanh chóng mà phần cứng chưa thể thay đổi kịp theo Nhưng phần mềm ngược lại, nâng cấp, thay dễ dàng phần mềm kéo dài tuổi thọ, thời gian sử dụng thiết bị Chính lí mà thiết bị thông tin nghiên cứu thay để khắc phục nhược điểm Các chương trình nghiên cứu thiết bị vô tuyến hệ cuối năm 1970 nhằm phát triển thiết bị đa hoạt động băng VHF Đầu tiên Phòng thí nghiệm Điện tử Hàng không Không quân Mỹ Chương trình nghiên cứu để hợp lĩnh vực truyền thông, dẫn đường, nhận dạng điện tử hàng không ICNIA (Integrated Communication, Navigation, Identification and Avionics) Kết thành công chương trình báo cáo vào năm 1992 thử nghiệm tốt Không quân ICNIA thiết bị vô tuyến lập trình theo nghĩa mềm.Vào cuối năm 1980, chương trình nghiên cứu phát triển hình thành SDR nghiên cứu nhằm chế tạo xử lý tín hiệu chống nhiễu lập trình ứng dụng thông tin liên lạc cấp chiến thuật TAJPSP (Tactical Anti-Jam Programmable Signal Processor) Mục đích nghiên cứu nhằm phát triển hệ xử lý có khả hoạt động với nhiều loại tín hiệu theo cấu trúc module sử dụng chương trìn h SPEAKeasy SPEAKeasy chương trình Chính phủ Mỹ nhằm phát triển cấu trúc công nghệ ứng dụng thiết bị quân tương lai với hoạt động mạng đa phương tiện Các nghiên cứu nhằm hợp thiết bị thông tin liên lạc cấp chiến thuật quân đội hoàn thành năm 1998 SPEAKeasy phiên hệ thống radio chiến thuật chung quân đội Mỹ JTRS (Joint Tactical Radio Systems) Thiết bị vô tuyến thiết kế để sử dụng linh hoạt điều kiện khác nhau, quốc gia khác với tiêu chuẩn đa dạng Chúng kết nối với mạng thông tin quốc gia mà đội quân triển khai để tối ưu hoá việc kết nối Chương trình có kết đời hệ thống thiết bị vô tuyến chung Hệ thống JSTR thiết kế tương thích với 33 sau 40 tiêu chuẩn truyền thông khác Ở châu Âu, chương trình nghiên cứu thiết bị vô tuyến cấu hình mềm ACTS, FIRST, FRAMES (Advanced Communications Technology, Flexible Integrated Radio System and Technology, Future Radio Wideband Multiple Access System) nhằm tập trung hợp hệ thống thông tin di động tiến tới hệ 3G 4G, mục đích hình thành mạng thông tin di động toàn cầu Những nghiên cứu mở đường cho sản phầm có khả hoạt động mạnh, cung cấp nhiều dịch vụ linh hoạt Hiệu phát triển ứng dụng triệt để lĩnh vực nghiên cứu cấu trúc máy thu, xử lý tín hiệu số, ngôn ngữ lập trình,…, ứng dụng hệ thống mà chức xác định qua việc lập trình Hệ thống vô tuyến có cấu hình mềm (xét phần cứng, phần mềm quản lý điều khiển) xây dựng sở ứng dụng công nghệ bán dẫn tiên tiến, linh kiện tích hợp cao chuyến đổi số - tương tự, tương tự - số, kỹ thuật xử lí tín hiệu số, kỹ thuật lập trình phần cứng, kỹ thuật xử lí tín hiệu băng gốc, kỹ thuật xử lí tín hiệu cao tần chuyển đổi tần số lên chuyển đổi tần số xuống DUC/DDC (Digital Up/Down Converter),.… Chương trình điều khiển hoạt động chương trình thực chức thiết bị xây dựng sẵn thiết kế Trong trình sử dụng phần mềm cập nhật nhanh chóng mang lại hiệu kinh tế cao hơn, thời gian nhanh so với việc phải thay hoàn toàn phần cứng thiết bị 1.2 Các công nghệ cho phép tái cấu hình SDR Ngày có hai công nghệ cho khả tái cấu hình: (1) Trường ma trận cổng lập trình (FPGA) (2) xử lý, bao gồm hệ xử lý tín hiệu số DSP giống xử lý ứng dụng chung Cả FPGA xử lý cho phép tái cấu hình thực FPGA tái cấu hình việc nạp lại mã phần sụn (firmware) Dựa kích cỡ dạng FPGA, việc tái cấu hình thực từ vài ms đến vài s Cả hai cách có ưu điểm nhược điểm riêng, với số công nghệ, cách sử dụng theo cách để đạt ưu điểm tối đa hạn chế tối thiểu nhược điểm Chú ý ta thấy nhiều ưu điểm FPGA bổ sung cho nhược điểm xử lý FPGA chíp trắng nên dễ dàng thiết kế hệ thống phối hợp, hai thành phần phải phát huy điểm mạnh chúng Hình 1.1 Quan hệ tương tự số máy thu phát vô tuyến Khối xử lý tốc độ symbol hình 1.1 đường thu gồm thành phần down-converters số, giải điều chế (ví dụ giải điều chế QPSK, máy thu sục sạo tương quan cho CDMA), dạng lọc khác thành phần tương tự Tương tự việc xử lý tốc độ symbol tuyến phát yêu cầu thiết lập lọc khác, điều chế PSK chuỗi trải rộng CDMA PN (chuỗi số giả ngẫu nhiên Psuedo-random) Nhiều dạng sóng sử dụng quân gần chiếm băng thông cao, yêu cầu thiết bị làm việc tần số lớn 100 Msps Các xử lý DSP thực chức cần toàn tài nguyên nó, điều dẫn đến việc sử dụng hệ thống không hiệu Các chức thích hợp thực FPGA Xử lý tốc độ bit gồm có nhiệm vụ ghép tách đường bit (bitstream) biểu diễn biên dịch kênh phụ (sub-chanel), biểu diễn giao thức truyền-mức (transpot-level), phát điều khiển lỗi, mật mã hoá/giải mật mã, lược đồ mã symbol bổ sung chẳng hạn lược đồ hình sao, mã hoá/giải mã Viterbi turrbo, …Về mặt lý thuyết, tất việc thực với xử lý DSP Tuy nhiên, số công việc giải mã Viterbi turbo thuận lợi thực FPGA Mặt khác, hoạt động việc thực giám sát bít lỗi giao thức xếp thực dễ dàng xử lý Nên thông thường xác định nhiệm vụ xử lý tốc độ bít xử lý bổ sung cho chúng số hỗ trợ xử lý FPGA dựa tảng cần thiết Để có tính mền dẻo, xử lý PowerPC dấu phảy động AltiVec đặt (board) riêng Việc bố trí chúng board riêng làm ta dễ dàng thay đổi tỉ lệ xử lý với FPGA theo yêu cầu ứng dụng khác Đây xử lý tốc độ bít, kết nối tới board thông qua bus RapidIO (vào tốc độ cao) nối tiếp đa cho phép tốc độ 200 Mbytes/s theo hai chiều Băng thông không cho phép liệu tiền xử lý từ FPGA tới xử lý mà cho phép truyền liệu xử lý trở lại board FPGA để xử lý bổ sung (chẳng hạn giải mã turbo Reed Solomon) kết đưa trở lại board xử lý, cần thiết Một sơ đồ kết nối băng tần cao mềm dẻo giống serial RapidIO (vào nối tiếp tốc độ cao), cho phép nhiều card FPGA ghép nối với nhiều card xử lý Hình 1.2 ví dụ tính mền dẻo khả mở rộng mạch kết hợp FPGA xử lý tín hiệu Mỗi board bao gồm điều khiển nhúng chạy hệ điều hành VxWorks Các điều khiển cho phép lưu nạp trực tiếp mã vào FPGA xử lý, giống việc truyền tin với thành phần khác hệ thống (như đầu cuối người sử dụng) thông qua mạng Ethernet tiêu chuẩn sử dụng ngăn xếp TCP/IP có VxWorks Hình 1.2 Cấu trúc SDR mô tả công nghệ phân chia FPGA CPU kết nối liệu tốc độ cao Tính mền dẻo cấu trúc giúp phù hợp với nhiều ứng dụng Ứng dụng đơn giản hệ thống máy thu, phát vô tuyến SDR, thu phát sử dụng tần số dạng sóng tiền lập trình Tiếp theo, hệ thống thực thêm chức thu định hướng tự động xác định dạng điều biến Nếu tín hiệu xác định đối phương hệ thống phát tần số để chế áp Nếu tín hiệu bị chế áp, thiết bị điều chỉnh tín hiệu vô tuyến sử dụng để tách tín hiệu ra, điều thực cải thiện cường độ tín hiệu thu Khả tái cấu hình cấu trúc FPGA/CPU thực điều Tất chức lập trình nạp vào FPGA xử lý Chúng nạp liên tục không nạp số thời điểm 1.3 Khả ứng dụng hệ thống SDR Với việc nghiên cứu phát triển hệ thống SDR mở nhiều ứng dụng lĩnh vực thông tin liên lạc quân dân 1.3.1 Ứng dụng thông tin quân Ứng dụng SDR không đem lại hiệu thiết bị vô tuyến hoạt động điều kiện đặc biệt, khắc nghiệt thay đổi Đồng thời mang lại ứng dụng quan trọng khác việc bảo mật thông tin, dễ dàng thay đổi dạng tín hiệu công tác, dạng điều chế, dải tần, tốc độ liệu, dạng mã hoá tiếng nói, kết nối hệ thống định vị toàn cầu GPS (Global Positioning System) cung cấp đồ chiến thuật số, thông tin trình chiến đấu,… mà không cần phải lắp đặt, thay đổi nhiều phần cứng Khi cần thay đổi dạng, loại, chế độ công tác cần thay đổi phần mềm Như đem lại hiệu cho khung phần cứng có sẵn Trong quân sự, ưu điểm SDR là: Tính an toàn thông tin, mã hoá bảo mật, sử dụng linh hoạt, tích hợp nhiều chức chế độ công tác, nhỏ gọn tiện cho trình động chiến đấu, khả kết nối với máy tính mạng thông tin liên lạc khác cao theo tiêu chuẩn quốc tế SDR cho phép tổ chức mạng thông tin lớn cho hệ thống, bao gồm nhiều loại phương tiện thông tin cho binh chủng khác Hình 1.3 Sơ đồ kết nối thiết bị thông tin cấu hình mềm NATO Hình 1.4 Mô hình hệ thống radio chiến thuật chung JTRS 10 Như phân tích phần trước, tín hiệu thu sau lọc tách hai thành phần tần số f0 f1, hai thành phần đưa qua khối CORDIC ATAN để tách biên độ chúng Tín hiệu sau qua khối CORDIC ATAN đưa qua lọc FIR thông thấp với phương pháp thiết kế lọc FIR thông dải để loại bỏ thành phần biến đổi nhanh, kết từ đầu lọc FIR thông thấp ta thu thành phần chiều đưa vào khối so ngưỡng Khối so sánh ngưỡng so sáng biên độ hai thành phần để định tín hiệu phát ‘0’ hay ‘1’: Hình 4.40 Sơ đồ tách sóng biên độ khôi phục tín hiệu phát Khối so ngưỡng xây dựng dựa khối Mcode Sysgen mã Matlab, mã Matlab cho khối Mcode: function c = demfsk(a, b) if (a >= b) c = 1; else c = 0; end Từ ta có sơ đồ hoàn chỉnh giải điều chế tín hiệu BFSK tín hiệu giải điều chế BFSK: 108 Hình 4.41 Sơ đồ giải điều chế BFSK Hình 4.42 Tín hiệu phát tín hiệu thu 4.2.2.6 Điều chế giải điều chế 8PSK a) Điều chế 8PSK Với nguồn liệu đầu vào tạo giả kết hợp với sở lý thuyết phân tích ta có sơ đồ tạo tín hiệu 8PSK: Hình 4.43 Sơ đồ tạo tín hiệu 8PSK 109 Trong khối ánh xạ QPSK ánh xạ 8PSK sau: Hình 4.44 Sơ đồ khối ánh xạ QPSK Hình 4.45 Sơ đồ khối ánh xạ 8PSK từ QPSK Từ ta có chòm tín hiệu 8PSK: Hình 4.46 Chòm tín hiệu 8PSK 110 ** Xây d ựng lọc bậc cosin nâng cho thu phát: Thực thiết kế lọc bậc cosin nâng với N = 8, hệ số uốn rolloff = 0,3 đáp ứng xung miền thời gian tần số hình 3.48 Ta kiểm tra đặc tuyến lọc bậc cosin nâng trực tiếp FDATool Matlab với câu lệnh rcosfir(.3, 4, 8, 1,'sqrt') Hình 4.47 Giao diện lọc bậc cosin nâng Hình 4.48 Cấu trúc đáp ứng xung lọc bậc cosin nâng 111 Hình 4.49 Sơ đồ thiết kế lọc bậc cosin nâng Hình 4.50 Tín hiệu trước lọc sau lọc ** Bộ nhân phức tạo tín hiệu phát 8PSK xây dựng theo sơ đồ: Hình 4.51 Sơ đồ nhân phức tạo tín hiệu phát 8PSK 112 Từ ta có sơ đồ hoàn chỉnh phát 8PSK dạng tín hiệu phát tương ứng: Hình 4.52 Sơ đồ tuyến phát 8PSK Hình 4.53 Tín hiệu phát 8PSK b) Giải điều chế 8PSK Để xây dựng giải điều chế 8PSK quan trọng xây dựng khối khôi phục sóng mang khối nhân phức tạo tín hiệu kênh I, Q đầu vào lọc bậc cosin nâng thiết kế Như phân tích phần trước, khối khôi phục sóng mang thiết kế với tham số: K_p = 0.0032, K_I = 5.2119e-006, K = 0.5 Từ ta có sơ đồ xây dựng tính lỗi pha lọc vòng phần mềm sau: 113 Hình 4.54 Tách lỗi pha 8PSK Hình 4.55 Bộ lọc vòng khôi phục sóng mang Ta có sơ đồ giải điều chế hoàn chỉnh chòm tín hiệu 8PSK sau giải điều chế sau: Hình 4.56 Sơ đồ giải điều chế 8PSK 114 Hình 4.57 Chòm tín hiệu thu 8PSK 4.2.2.7 Điều chế giải điều chế 16QAM a) Điều chế 16QAM ** Ánh xạ tạo tín hiệu 16QAM: Giống MPSK, ánh xạ tín hiệu 16QAM lên kênh I, Q dựa nguyên tắc tách bít sau ánh xạ lên bảng địa ROM Từ với liệu vào tạo giả trực tiếp FPGA bảng địa ROM ánh xạ [-3, -1, 1, 3] ta có sơ đồ ánh xạ tạo tín hiệu 16QAM chòm tín hiệu 16QAM tương ứng: Hình 4.58 Sơ đồ ánh xạ tín hiệu 16QAM 115 Hình 4.59 Chòm tín hiệu phát 16QAM Ta có sơ đồ phát hoàn chỉnh 16QAM dạng tín hiệu phát 16QAM sau: Hình 4.60 Sơ đồ tuyến phát 16QAM Hình 4.61 Tín hiệu phát 16QAM 116 b) Giải điều chế 16QAM Giống 8PSK, khối khôi phục sóng mang quan trọng giải điều chế 16QAM Như phân tích phần trước, khối khôi phục sóng mang gồm ánh xạ lại, tính lỗi pha dpll với sơ đồ xây dựng phần mềm sau: ** Ánh xạ lại: Địa bảng ROM giống hệt khối ánh xạ: Hình 4.62 Ánh xạ lại tín hiệu 16QAM ** Bộ nhân phức tính lỗi pha: Hình 4.63 Bộ nhân phức ** dpll: 117 Hình 4.64 Sơ đồ thực dpll Hoàn chỉnh sơ đồ khôi phục sóng mang cho 16QAM: Hình 4.65 Khôi phục sóng mang 16QAM Từ ta có sơ đồ giải điều chế 16QAM chòm tín hiệu thu 16QAM sau: Hình 4.66 Sơ đồ giải điều chế 16-QAM 118 Hình 4.67 Chòm tín hiệu thu 16QAM * Gỡ rối thời gian thực kiểm tra tín hiệu thiết bị thực thực trực tiếp báo cáo đồ án * Sản phẩm đồ án: 119 ** Kết luận chương 4: Như với giải pháp kỹ thuật lựa chọn chương 3, kết hợp với công cụ thiết kế phần mềm System Generator FPGA em xây dựng thành công máy thu, máy phát số đa chế độ AM, SSB, FM, BFSK, 8PSK, 16QAM, mô tải cấu hình vào phần cứng 120 KẾT LUẬN Như sau ba tháng kể từ ngày nhận đồ án, với hướng dẫn thầy giáo Trung tá – Tiến sỹ Lê Hải Nam, đến em hoàn thành đồ án với kết đạt được: - Làm chủ công nghệ FPGA phần mềm phần cứng, nắm bắt luồng thiết kế xử lý tín hiệu số phức tạp FPGA - Nắm kiến thức SDR, đặc điểm khả ứng dụng SDR thực tế - Nắm sở lý thuyết điều chế giải điều chế tương tự, điều chế giải điều chế số - Nghiên cứu xây dựng thành công máy thu, máy phát hệ số AM, SSB, FM, BFSK, 8PSK, 16QAM công nghệ FPGA có khả ứng dụng thực tế cao không thông tin dân mà thông tin quân hệ xử lý tín hiệu khác Nhưng kiến thức thời gian hạn chế nên đồ án dừng lại việc đưa mô hình thiết kế phần cứng, mô phỏng, nạp cấu hình vào phần cứng, gỡ rối thời gian thực kiểm tra tín hiệu nạp cấu hình vào phần cứng, đo đạc kiểm tra xử lý trung tần máy thu số BFSK thiết bị thực Cuối em xin chân thành cảm ơn thầy giáo TS - Lê Hải Nam thầy giáo môn Thông tin - khoa Vô tuyến điện tử bạn lớp tận tình bảo, giúp đỡ em suốt năm học trình làm đồ án để đồ án hoàn thành tiến độ thời gian Đồng thời kính mong thầy môn khoa đóng góp ý kiến để đồ án hoàn thiện đưa sản phẩm ứng dụng thực tế 121 TÀI LIỆU THAM KHẢO Nguyễn Ngọc Bích, Cơ sở xử lý số tín hiệu điều khiển số, NXB Quân đội 2001 Nguyễn Quốc Bình, kỹ thuật truyền dẫn số, NXB Quân đội 2001 Phạm Minh Hà, Kỹ thuật mạch điện tử, NXB KHKT, 1997 Tống Văn On, Nguyên lý mạch tích hợp - tập 2: Lập trình Asic, NXB thống kê A Digital Demodulator AM, SSB, FM Chris Dick, Synchronization in Software Radio – Carrier and Timing Recovery Using FPGAs, 2000 Fuqin Xiong, Digital Modulation Techniques, 2000 Jouko Vankka, Direct Digital Synthesizers: Theory, Design and Application, 2000 Richard C Jaeger, Software Defined Radio 122

Ngày đăng: 12/09/2016, 09:53

Tài liệu cùng người dùng

Tài liệu liên quan