code demux1_4 khối trừ 1 kênh 4 kênh

1 249 0
code demux1_4 khối trừ 1 kênh  4 kênh

Đang tải... (xem toàn văn)

Thông tin tài liệu

                         library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity demux1 is Port ( I : in STD_LOGIC; S0 : in STD_LOGIC; S1 : in STD_LOGIC; Y : out STD_LOGIC_VECTOR (3 downto 0)); end demux1; architecture Behavioral of demux1 is begin process(I,S0,S1) begin if(S1='0' and S0='0')then Y

Ngày đăng: 12/07/2016, 21:31

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan