ĐỒ án môn học LOGIC

9 397 5
ĐỒ án môn học LOGIC

Đang tải... (xem toàn văn)

Thông tin tài liệu

ĐỒ ÁN MÔN HỌC: THIẾT KẾ LOGIC SỐ Đề Tài: Thiết kế phân kênh đầu vào, đầu Bài làm: Đặt vấn đề Bộ phân kênh hay gọi mạch tách kênh Demux có chức ách I kênh truyền thành kênh liệu đầu phụ thuộc ngõ đầu vào Có thể coi mạch tách kênh giống công tắc khí điều khiển chuyển mạch mã số Tùy theo mã số áp vào ngõ chọn mà liệu từ đường đưa số đường song song Các mạch Demux thường chọn từ ngõ vào đưa đường song song 2n Trong Đồ án phân kênh : Thiết kế phân kênh đầu vào, đầu (đầu 22) Sơ đồ khối bảng thật Demux 1_4 Sơ đồ khối Demux 1_4 II Mạch tách kênh từ kênh sang kênh ta cần phải có ngõ vào bit - Khi ngõ vào cho phép Bitin mức ‘0’ cấm không cho phép liệu vào truyền ngõ nên tất ngõ mức ‘0’ - Khi ngõ vào cho phép Bitin = 1, Kênh đầu Y0 nối với kênh đầu vào AA=00 Kênh đầu Y1 nối với kênh đầu vào AA=01 Kênh đầu Y2 nối với kênh đầu vào AA=10 Kênh đầu Y3 nối với kênh đầu vào AA=11 Như ta có bảng thật 2.Bảng thật AB Bitin Y3 Y2 Y1 Y0 00 0 0 01 0 0 11 0 0 10 0 0 00 0 01 0 11 1 0 10 1 0 Ta có bìa Karnaugh Y0 : Bitin AB 00 01 11 10 0 0 0 Biểu thức Logic ngõ : Y0 = ĀBBitin Ta có bìa Karnaugh Y1 : Bitin AB 00 01 11 10 0 0 0 Biểu thức Logic ngõ : Y1 = ĀBBitin Ta có bìa Karnaugh Y2 : Bitin AB 00 01 11 10 0 0 0 Biểu thức Logic ngõ : Y2 = ABBitin Ta có bìa Karnaugh Y3 : Bitin AB 00 01 0 0 11 10 0 Biểu thức Logic ngõ : Y3 = ABBitin Từ ta dùng cổng Logic để thiết kế mạch tách kênh Demux 1_4 : CODING DEMUX14 library IEEE; use IEEE.STD_LOGIC_1164.ALL; III entity Demux14 is port ( y0 : out std_logic; y1 : out std_logic; y2 : out std_logic; y3 : out std_logic; ab: in std_logic_vector(1 downto 0); bitin : in std_logic ); end Demux14; architecture Behavioral of Demux14 is begin process(bitin,ab) begin case ab is when "00" => y0

Ngày đăng: 12/07/2016, 21:26

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan