Thiết kế CPU dùng ngôn ngữ VHDL

73 1.1K 18
Thiết kế CPU dùng ngôn ngữ VHDL

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Thiết kế CPU dùng ngôn ngữ VHDL

Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL LỜI NÓI ĐẦU Một thành phần quan trọng của máy tính là bộ xử lý trung tâm (CPU). Có rất nhiều công sức được đầu tư vào việc chế tạo các CPU nhằm tạo ra các máy tính đáp ứng yêu cầu ngày càng cao của xã hội. Đó cũng chính là nhiệm vụ mà đề tài này cần thực hiện. Để thiết kế một CPU với đầy đủ chức năng cần một công cụ đủ mạnh. Trong số những công cụ đó, ngôn ngữ mô tả phần cứng (VHDL) sẽ được sử dụng trong đề tài này Từ ngôn ngữ VHDL các nhà thiết kế phần cứng có thể thiết kế ra những thiết bò phần cứng như CPU, bộ vi điều khiển… một cách nhanh chóng và cũng có thể thực hiện mô phỏng và kiểm tra khả năng hoạt động của thiết bò trước khi đưa vào sản xuất, nhờ vậy có thể giảm bớt thời gian, chi phí sản xuất . Do khả năng và tính hiệu quả của ngôn ngữ VHDL là động cơ chính để chúng em chọn đề tài “Thiết kế CPU dùng ngôn ngữ VHDL” làm đề tài cho luận văn tốt nghiệp của mình. Chúng em chân thành biết ơn toàn thể quý thầy cô trong Khoa Công Nghệ Thông Tin Trường Đại Học Kỹ Thuật Công Nghệ TPHCM, xin chân thành cảm ơn thầy Lê Mạnh Hải đã tận tình hướng dẫn chúng em thực hiện luận văn này. Trong thời gian ngắn, có lẽ chưa đủ để nghiên cứu sâu một đề tài tương đối lớn, nên chắc chắn không thể tránh khỏi thiếu sót. Kính mong quý thầy cô góp ý để chúng em tiến bộ thêm. Tp.HCM, ngày 03 tháng 05 năm 2003 Huỳnh Thò Mộng Tuyền Dương Huỳnh Thanh Tùng GVHD: Lê Mạnh Hải Trang 1 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL GIỚI THIỆU I. MỤC ĐÍCH Luận văn này thực hiện một số công việc như sau. - Thiết kế CPU bằng ngôn ngữ VHDL. - Thực hiện mô phỏng chương trình trên chíp FLEX10K của hãng Altera. II. BỐ CỤC - Tìm hiểu một số khái niệm của của ngôn ngữ VHDL và mối quan hệ giữa VHDL với phần cứng. - Công nghệ FPGA: tìm hiểu một số thiết bò FPGAs. - Thiết kế CPU: thực hiện mô tả nhiệm vụ của CPU, tập lệnh của CPU, chế độ đòa chỉ lệnh của CPU, hành vi của CPU, các thành phần của CPU và viết chương trình để thực hiện một số lệnh của CPU. - Thực hiện mô phỏng chương trình dùng phần mềm MAX+Plus II. GVHD: Lê Mạnh Hải Trang 2 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL MỤC LỤC Trang LỜI NÓI ĐẦU 1 GIỚI THIỆU 2 I. MỤC ĐÍCH .2 II. BỐ CỤC 2 MỤC LỤC 3 Phần 1 VHDL VÀ FPGA 6 I. GIỚI THIỆU 6 1. Giới thiệu về VHDL 6 2. Các đặc điểm của VHDL 6 II. CÁC KHÁI NIỆM CƠ BẢN .6 1. Các khối .6 2. Giới thiệu hai đơn vò thiết kế cơ bản của VHDL .7 2.1. Khai báo thực thể .8 2.2. Thực thể kiến trúc .8 3. Gói 9 III. MỐI QUAN HỆ GIỮA NGÔN NGỮ VHDL VÀ PHẦN CỨNG .9 1. Các thành phần của VHDL để mô tả phần cứng .9 1.1. Các kiểu của VHDL .9 1.2. Các đối tượng của ngôn ngữ VHDL 10 1.3. Các toán tử số học 11 1.3.1. Các toán tử luận lý .11 1.3.2. Các toán tử quan hệ .11 1.3.3. Các toán tử cộng 11 1.3.4. Các toán tử dòch .11 1.3.5. Các toán tử một ngôi 12 1.3.6. Các toán tử nhân 12 1.4. Các lệnh tuần tự .12 1.4.1. Phép gán biến số 12 1.4.2. Phép gán tín hiệu .12 1.4.3. Lệnh điều kiện .12 1.4.4. Lệnh lặp .13 2. Sự thể hiện phần cứng bằng VHDL 13 2.1. Các mạch tổ hợp .13 GVHD: Lê Mạnh Hải Trang 3 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL 2.1.1. Các cổng luận lý 13 2.1.2. Các toán tử số học 13 2.1.3. Các toán tử dòch và quay 14 2.1.4. Bộ dồn kênh .14 2.2. Các mạch đồng bộ .15 2.2.1. Mạch cài 15 2.2.2. Thanh ghi .16 IV. CÔNG NGHỆ FPGA 16 1. Các loại FPGA .17 1.1. Các công nghệ lập trình chíp 18 1.1.1. Công nghệ lập trình dùng RAM tónh 18 1.1.2. Công nghệ lập trình dùng cầu chì nghòch .19 1.1.3. Công nghệ lập trình EPROM và EEPROM 20 1.2. Các loại FPGA trên thò trường .21 2. Một số ứng dụng của FPGA .22 3. Thiết bò Max, Flex 23 3.1. MAX .24 3.2. FLEX .24 Phần 2 THIẾT KẾ CPU .25 I. NHIỆM VỤ 25 II. TỔ CHỨC BỘ NHỚ CỦA CPU .25 III. TẬP LỆNH CỦA CPU .26 IV. CHẾ ĐỘ ĐỊA CHỈ CỦA LỆNH .27 V. HÀNH VI CPU .29 1. Đònh thời và đồng hồ .29 1.1. Giản đồ đònh thời đáp ứng ngắt quãng 29 1.2. Giản đồ chu kỳ thực hiện lệnh 1 byte .30 1.3. Giản đồ đònh thời chu kỳ thực hiện các lệnh rẽ nhánh và lệnh jmp ở chế độ trực tiếp .30 1.4. Giản đồ đònh thời chu kỳ thực hiện lệnh lda, adc, sbc .31 1.5. Giản đồ đònh thời chu kỳ thực hiện lệnh jsr 31 1.6. Giản đồ đònh thời chu kỳ thực hiện lệnh sta 31 1.7. Giản đồ đònh thời chu kỳ thực hiện lệnh lda, and, adc, sbc .32 1.8. Giản đồ đònh thời chu kỳ thực hiện lệnh sta 32 2. Khối phục vụ chương trình .33 3. Mô tả hành vi cpu 36 VI.CÁC THÀNH PHẦN CỦA CPU .38 1. Chức năng của các thành phần của CPU .39 GVHD: Lê Mạnh Hải Trang 4 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL 2. Thực hiện lệnh 39 3. Mô tả các thành phần .41 3.1. Đơn vò luận lý số học 41 3.2. Đơn vò dòch chuyển .44 3.3. Thanh ghi trạng thái 45 3.4. Thanh ghi tích luy 46 3.5. Thanh ghi lệnh 47 3. Bộ điều khiển của CPU .48 Phần 3 MÔ PHỎNG .62 I. MAX+PLUSII 62 1. Tổng Quát 62 2. Cách sử dụng Max+PlusII 62 2.1. Thực hiện soạn thảo và dòch một chương trình .63 2.2. Thực hiện kiểm tra kết quả sau khi đã tổng hợp mạch .64 2.3. Thoát khỏi Max+plusII .65 II. MÀN HÌNH KHI MÔ PHỎNG 65 1. Khối luận lý số học ALU .65 2. Khối dòch chuyển SHU 66 3. Khối điều khiển 67 4. Sơ đồ chân CPU .69 5. Màn hình mô phỏng lệnh .70 Phần 4 KẾT LUẬN KIẾN NGHỊ .71 I. NHẬN XÉT CHUNG .71 a. Những mặt đạt được .71 b. Những vấn đề tồn tại .71 II. HƯỚNG PHÁT TRIỂN .71 III. ĐÁNH GIÁ .71 IV. KẾT LUẬN 71 Phần 5 TÀI LIỆU THAM KHẢO .73 GVHD: Lê Mạnh Hải Trang 5 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL Phần 1 VHDL VÀ FPGA Phần I giới thiệu ngôn ngữ VHDL và một số đặc điểm chính của nó. Phần II trình bày các khái niệm cơ bản về khối, thực thể, kiến trúc và gói của ngôn ngữ VHDL. Phần III trình bày mối quan hệ mật thiết giữa ngôn ngữ VHDL và phần cứng. Phần IV giới thiệu về công nghệ FPGA để xây dựng các mạch tích hợp. I. GIỚI THIỆU 1. Giới thiệu về VHDL VHDL (VHDL là từ viết tắt của Very Hight Speed Integrated Circuit Hardware Description Language) là một ngôn ngữ lập trình đã được thiết kế theo cách mô tả hành vi của hệ thống số.VHDL được phát triển vào những 1980. VHDL có nhiều đặc điểm thích hợp mô tả hành vi của các thành phần thiết bò điện tử từ những cổng luận lý đơn giản đến những bộ vi xử lý phức tạp. Đặc điểm của VHDL là cho phép mô tả chính xác hành vi của những mạch điện tử. Cũng giống như ngôn ngữ Pascal, C và C++, VHDL bao gồm những đặc điểm hữu ích cho kỹ thuật thiết kế những cấu trúc và trình bày những đặc điểm dữ liệu. Không giống như những ngôn ngữ lập trình khác, VHDL cung cấp những đặc điểm cho phép để mô tả những sự kiện xảy ra. (xem[1]) 2. Các đặc điểm của VHDL Một số đặc điểm chính của ngôn ngữ VHDL (xem[4]) * Đặc điểm tổng quát: VHDL có thể được sử dụng để lập tài liệu thiết kế, thiết kế mức độ cao, mô phỏng tổng hợp và kiểm tra phần cứng. VHDL cho phép mô tả phần cứng từ mức hệ thống đến mức cổng, hỗ trợ tính đồng thời nghóa là từ các hợp phần nhỏ đến lớn hoạt động tại một thời điểm. * Hỗ trợ phân cấp thiết kế: Đây là đặc tính thiết yếu của ngôn ngữ phần cứng đa cấp. Thiết kế chứa một mô tả giao diện và một số phần độc lập để mô tả hoạt động. Hoạt động của hệ thống có thể được đặc tả dựa trên chức năng hoặc dựa trên cấu trúc của những phần nhỏ hơn của chúng. Đặc tả cấu trúc các hợp phần có thể được thực hiện ở tất cả các cấp thiết kế. * Có thư viện hỗ trợ: Ngôn ngữ cung cấp cơ chế để truy cập đến nhiều thư viện khác nhau. Thư viện không chỉ chứa đặc tả giao diện của GVHD: Lê Mạnh Hải Trang 6 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL thiết kế, mà còn chứa một số đặc tả của hệ thống. Các đặc tả và các mẫu có thể dựa vào thư viện sau khi được dòch bởi chương trình dòch. * Có lệnh tuần tự: Khi người thiết kế phân chia hệ thống thành những hợp phần đồng thời hay phần con, tiếp đó người thiết kế có thể mô tả chi tiết hoạt động bên trong bằng những cấu trúc ngôn ngữ lập trình tuần tự như các lệnh case, if – then – else, loop,…Các lệnh tuần tự cung cấp phương pháp đơn giản để tạo ra các hợp phần phần cứng dựa trên chức năng của chúng. * Thiết kế tương thích chung: Để thiết kế tổng quát ngôn ngữ cho phép người thiết kế đặt cấu hình mô tả hợp phần có thông số chung trong thiết kế. Mô tả tương thích chung có thể thay đổi kích thước đặc tính vật lý, đònh thời đặc tính tải, và môi trường hoạt động của thiết kế. * Khai báo kiểu và cách dùng: Ngôn ngữ VHDL cho phép mô tả các kiểu bit, Boolean, integer, floating, kiểu liệt kê, kiểu dãy, bản ghi. Ngoài ra còn hỗ trợ các kiểu do người sử dụng đònh nghóa. Ngôn ngữ VHDL cũng cho phép đònh nghóa lại các toán tử của ngôn ngữ bởi người sử dụng. * Sử dụng các chương trình con: Ngôn ngữ VHDL cho phép đònh nghóa các hàm, thủ tục, các chng trình con có thể sử dụng để biến đổi các kiểu, đònh nghóa đơn vò luận lý, đònh nghóa lại toán hạng, đònh nghóa toán tử mới, và các ứng dụng khác trong ngôn ngữ lập trình. * Điều khiển đònh thời: Ngôn ngữ VHDL là cho phép đặc tả đònh thời ở tất cả các cấp như là: đặt giá trò của tín hiệu, thời gian trễ, đònh nghóa tín hiệu đồng bộ, đặt độ rộng xung … II. CÁC KHÁI NIỆM CƠ BẢN (xem[1]) 1. Các khối VHDL được mô tả thành những khối, khối đầu tiên là đơn vò thiết kế. Có 5 loại đơn vò thiết kế được phân thành hai phần là phần mô tả kiến trúc phần cứng và phần mềm. +Phần cứng gồm có: Thực thể (entity), cấu hình (configuration), kiến trúc (architecture) +Phần mềm gồm có: gói (package) và thân gói (package body). 2. Giới thiệu hai đơn vò thiết kế cơ bản của VHDL Hai đơn vò thiết kế cơ bản của VHDL là Entity declaration và Architecture body. GVHD: Lê Mạnh Hải Trang 7 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL Một Entity là một mô hình sử dụng một khai báo thực thể và ít nhất có một thực thể kiến trúc. Khai báo thực thể là mô tả tổng quát bên ngoài của một thực thể, ví dụ như: tên các tín hiệu input, output. Thân của kiến trúc (Architecture body) là đi vào đặc tả bên trong của một thực thể, ví dụ thiết lập sự nối kết liên tục của các thành phần mà đại diện cho cấu trúc của một thực thể, hoặc tập hợp tất cả các phát biểu đồng thời hay liên tục mà đại diện cho sự xử lý của thực thể. -Mô hình của thực thể Hình 1. 2.1. Khai báo thực thể (Entity Delaration) Khai báo thực thể là chỉ ra tên của mô hình cần thiết kế và danh sách các cổng giao tiếp. Cổng là những tín hiệu mà thực thể dùng để giao tiếp với những mô hình khác trong môi trường tổng quát của nó. Ví dụ: Entity mach_cong is Port(a,b : in bit; s1,c : out bit ) ; End mach_cong ; 2.2. Thực thể kiến trúc (Architecture Body) -Mô tả chi tiết một thực thể được chỉ ra bởi kiến trúc của thực thể như sau Ví dụ: mô hình cho thực thể mach_cong, ở dạng cấu trúc (structural): Architecture arc_mach_cong of mach_cong is Component Xor Port (X, Y: in bit; Z, T: out bit); End component; Component And Port (L, M: input; N, P: out bit); End component; Begin G1: Xor port map (A, B, Sum); G2: And port map (A, B, C); GVHD: Lê Mạnh Hải Trang 8 Sự trừu tượng phần cứng của một hệ thống số Khai báo thực thể Thân kiến trúc Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL End arc_mach_cong; Thực thể kiến trúc có tên là “arc_mach_cong”. Dùng những thành phần có sẵn của ngôn ngữ VHDL như cổng And, Xor để nối kết chúng lại với nhau tạo thành một cấu trúc cho thực thể. 3. Gói Trong môi trường thiết kế phần cứng cần phải nhóm các hợp phần hay các tiện ích dùng cho việc mô tả các hợp phần. Những cấu trúc VHDL để mô tả các tiện ích và môi trường là các đònh nghóa kiểu và chương trình con. Những hợp phần và tiện ích như vậy có thể được nhóm lại bằng cách sử dụng khối. Như ví dụ sau, cách khai báo khối chứa các hợp phần và tiện ích có thể tham khảo được từ các thực thể và các kiến trúc. Cú pháp: Package tên của gói is Khai báo các thành phần của gói End tên của gói ; Trong phần thân khối chứa những đònh nghóa chương trình con và các tiện ích được dùng bởi chương trình con Package body tên của gói is Khai báo các thành phần trong thân của gói End tên của gói ; Ngôn ngữ VHDL cho phép sử dụng các thư viện và liên kết các hợp phần phụ của thiết kế với các phần tử của thư viện khác III. MỐI QUAN HỆ GIỮA NGÔN NGỮ VHDL VÀ PHẦN CỨNG Trong phần này trình bày hai vấn đề là các thành phần của ngôn ngữ VHDL để thực hiện việc mô tả phần cứng và sự thể hiện phần cứng bằng ngôn ngữ VHDL. Phần 1 trình bày các kiểu của VHDL, các đối tượng của VHDL, các toán tử, các lệnh tuần tự. Phần 2 các vấn đề về mạch tổ hợp và mạch đồng bộ được trình bày. 1. Các thành phần của VHDL để mô tả phần cứng 1.1. Các kiểu của VHDL (xem [2, 4]) Trong ngôn ngữ VHDL có các kiểu sau: - Kiểu liệt được đònh nghóa bằng cách liệt danh sách các giá trò, mỗi thành phần của danh sách là một tên dành riêng hoặc là một ký tự số. GVHD: Lê Mạnh Hải Trang 9 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL Ví dụ: Type STD_LOGIC is (‘U’,’X’,’0’,’1’,’H’,’-’); Type STATE_TYPE is (HALT, READY, RUN, ERROR); - Kiểu nguyên có hai loại: + Kiểu nguyên được đònh nghóa như vùng con của kiểu tổng quát đã được cài sẵn và các giới hạn phải được khai báo. Ví dụ: Type LENGTH is range 0 to 1000; Type BYTE_INT is range -128 to 127; + Kiểu nguyên được đònh nghóa bởi người sử dụng. Ví dụ: Type MY_INTEGET is INTEGER range 0 to 1000; Signal MY_INT: MY_INTEGER; - Kiểu mảng dùng để đònh nghóa một tập chỉ số. Ví dụ: Type WORD is array (10 down to 0) of bit; - Kiểu record dùng để đònh nghóa tập các kiểu khác nhau. Ví dụ: Type CODE_TYPE is (NONE, DATA, STATMT); Type ITEM_TYPE is record CODE: CODE_TYPE; INT: INTEGER; End record; 1.2. Các đối tượng của ngôn ngữ VHDL (xem[1]) Trong ngôn ngữ VDHL có một số đối tượng như hằng, biến, tín hiệu. - Hằng: là giá trò được xác đònh trong suốt thời gian khởi tạo, các giá trò này không đổi trong suốt quá trình thực thi. Ví dụ: type TAB is array (BIT, BIT) of BIT; Constant AND_TAB: TAB := ((‘0’,’0’),(‘0’,’1’)); - Biến: Giá trò của biến được cập nhật tức thời khi được gán. Có 2 loại biến, biến cục bộ và biến toàn cục. + Biến cục bộ có thể được khai báo trong các chương trình con hoặc trong các quá trình và quan trọng là trong quá trình tổng hợp nơi mà chúng có thể suy ra các phần tử nhớ. + VHDL đồng thời ràng buộc thu hẹp sự sử dụng các biến toàn cục đối với sự miêu tả cấp hệ thống. -Tín hiệu: GVHD: Lê Mạnh Hải Trang 10 [...]... 24 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL Phần 2 THIẾT KẾ CPU Các khái niệm về ngôn ngữ VHDL, cú pháp và ngữ nghóa của nó đã được trình bày ở phần 1 Phần này sử dụng cấu trúc của phần 1 để mô tả CPU 8 bit Phần I nêu nhiệm vụ của CPU, phần II mô tả tổ chức bộ nhớ của CPU, phần III trình bày tập lệnh CPU, phần IV trình bày chế độ đòa chỉ của CPU, phần V mô tả hành vi của CPU, phần VI trình bày...Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL Trên board mạch in hoặc các mạch tích hợp thông tin được lan truyền xuyên qua các dây dẫn Trong ngôn ngữ VHDL các dây dẫn này gọi là “tín hiệu” Các tín hiệu hiện hữu từ khi bắt đầu đến khi kết thúc của quá trình tái tạo Không tạo ra tín hiệu mới hoặc không nối kết vật lý với các tín hiệu được cho phép trong thời gian... tónh, trong trường hợp này thiết bò có thể được lập trình lại bằng điện, mà không tách ra khỏi mạch, các PLD khác bao gồm các PLD mà bạn sẽ dùng trong GVHD: Lê Mạnh Hải Trang 23 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL lớp (class) này sẽ có các kết nối bên trong mà có thể được lập trình bằng điện và xóa bằng đèn tử ngoại UV (UV là viết tắt của ultraviolet) Có nhiều thiết bò lập trình được của... E :F F F :0 0 - F :F F Page14 Page15 Hình 12 Trang và độ dời của đòa chỉ CPU GVHD: Lê Mạnh Hải Trang 25 0 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL III TẬP LỆNH CỦA CPU CPU có tổng cộng 23 lệnh CPU này có một thanh ghi tích luỹ để thực hiện tất cả các lệnh Ngoài ra, CPU còn có các cờ overflow, carry, negative và zero (v, c, n và z) Các cờ này có thể được thay đổi bởi các lệnh liên quan đến cờ... cộng, kết quả phép cộng bit và cờ nhớ của nó được tính như sau: Sum := a XOR b XOR carry Carry := ((a xor b) and carry) or ( a and b) GVHD: Lê Mạnh Hải Trang 34 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL Vòng for thực hiện 8 phép cộng liên tiếp để tạo ra kết quả và cờ nhớ Để xác đònh bit tràn, kiểm tra hai ngõ vào cùng dấu và kết quả khác dấu thì phép tính đã bò tràn Nếu dấu_a bằng dấu_b và kết... nối với pass-gates có một trở kháng rất cao Khi ON nó sẽ tạo ra một trở kháng thấp kết nối giữa hai dây nối Đối với bộ dồn kênh, SRAM cell điều khiển ngõ nhập nào của các bộ dồn kênh sẽ được kết nối với ngõ ra của nó GVHD: Lê Mạnh Hải Trang 18 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL 1.1.2.Công nghệ lập trình dùng cầu chì nghòch (anti-fuse) Công nghệ lập trình anti-fuse được sử dụng trong các... FPGA có thể tái lập trình được ngay trên mạch của nó Những máy tính này bao gồm các mạch được thiết kế từ các FPGA Ý tưởng này xuất phát từ việc dùng một chương trình phần mềm để “dòch” vào phần cứng thay vì vào phần mềm theo cách thông GVHD: Lê Mạnh Hải Trang 22 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL thường Phần cứng này sau đó được hiện thực bằng cách lập trình các board mạch FPGA Phương... chỉ 8 bit chỉ GVHD: Lê Mạnh Hải Trang 26 Luận văn tốt nghiệp Thiết Kế CPU 8 Bit dùng VHDL tới chương trình con (tos), những lệnh kế tiếp thực hiện từ vò trí độ dời tos + 1 của trang hiện hành Ở cuối chương trình con để quay lại chương trình chính thì dùng lệnh nhảy gián tiếp đến đòa chỉ tos Bốn lệnh rẽ nhánh jv, jc, jz và jn làm cho lệnh kế tiếp được thực hiện tại đòa chỉ của trang hiện hành với độ... mạch tích hợp mật độ cao FPGA là một thiết bò cấu trúc luận lý có thể lập trình được bởi người sử dụng mà không cần đến một công cụ chế tạo mạch tích hợp Người thiết kế muốn tạo ra FPGAs tốt phải sử dụng công cụ thiết kế được trợ giúp bởi máy tính gọi là CAD (computer -Aided - Design) Đầu tiên thiết kế mạch luận lý ban đầu đòi hỏi một sơ đồ biểu diễn mạch hay một mô tả VHDL hoặc đặc tả các biểu thức luận... nghiệp Thiết Kế CPU 8 Bit dùng VHDL FOR i IN 1 TO (a'LEFT) LOOP r(i) := a(i) XOR not_b(i) XOR c(i-1); c(i) := ((a(i) XOR not_b(i)) AND c(i-1)) OR (a(i) AND not_b(i)); END LOOP; r(a'LEFT+1) := NOT c(a'LEFT); IF a_sign = b_sign AND r(a'LEFT) = a_sign THEN r(a'LEFT+2) := '1'; ELSE r(a'LEFT+2) := '0'; END IF; RETURN r; END sub_cv; END utility; 3 Mô tả hành vi cpu (xem[4]) Mô tả hành vi của CPU bằng lệnh cpu:

Ngày đăng: 27/04/2013, 17:33

Hình ảnh liên quan

Hình 2. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 2..

Xem tại trang 15 của tài liệu.
Mô hình hệ hống CAD của FPGA - Thiết kế CPU dùng ngôn ngữ VHDL

h.

ình hệ hống CAD của FPGA Xem tại trang 17 của tài liệu.
Hình 4. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 4..

Xem tại trang 17 của tài liệu.
Trong trường hợp pass-transistor và pass-gates ở hình 6 SRAM cell điều - Thiết kế CPU dùng ngôn ngữ VHDL

rong.

trường hợp pass-transistor và pass-gates ở hình 6 SRAM cell điều Xem tại trang 18 của tài liệu.
lên nguồn nối với bit line mô hình này không những cho EPROM transistor - Thiết kế CPU dùng ngôn ngữ VHDL

l.

ên nguồn nối với bit line mô hình này không những cho EPROM transistor Xem tại trang 21 của tài liệu.
Hình 13. Ví dụ về thực hiện lệnh jsr - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 13..

Ví dụ về thực hiện lệnh jsr Xem tại trang 28 của tài liệu.
Hình 14. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 14..

Xem tại trang 28 của tài liệu.
Hình 17. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 17..

Xem tại trang 30 của tài liệu.
Hình 18. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 18..

Xem tại trang 31 của tài liệu.
Hình 21. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 21..

Xem tại trang 32 của tài liệu.
Hình 23. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 23..

Xem tại trang 33 của tài liệu.
Hình 24. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 24..

Xem tại trang 38 của tài liệu.
Bảng 3. - Thiết kế CPU dùng ngôn ngữ VHDL

Bảng 3..

Xem tại trang 41 của tài liệu.
a AN Db NOT b - Thiết kế CPU dùng ngôn ngữ VHDL

a.

AN Db NOT b Xem tại trang 42 của tài liệu.
Hình 26. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 26..

Xem tại trang 44 của tài liệu.
Hình 30. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 30..

Xem tại trang 48 của tài liệu.
Hình 31. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 31..

Xem tại trang 50 của tài liệu.
Hình 32. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 32..

Xem tại trang 51 của tài liệu.
Hình 33. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 33..

Xem tại trang 53 của tài liệu.
Hình 34. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 34..

Xem tại trang 55 của tài liệu.
Hình 35. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 35..

Xem tại trang 56 của tài liệu.
Hình 36. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 36..

Xem tại trang 58 của tài liệu.
Hình 37. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 37..

Xem tại trang 59 của tài liệu.
Hình 39. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 39..

Xem tại trang 60 của tài liệu.
Kết hợp các hình từ hình 28 đến 36 thành mô hình hoạt động của khối - Thiết kế CPU dùng ngôn ngữ VHDL

t.

hợp các hình từ hình 28 đến 36 thành mô hình hoạt động của khối Xem tại trang 61 của tài liệu.
Màn hình sau sẽ thông báo khi quá trình dịch thành công. - Thiết kế CPU dùng ngôn ngữ VHDL

n.

hình sau sẽ thông báo khi quá trình dịch thành công Xem tại trang 64 của tài liệu.
Hình 41. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 41..

Xem tại trang 66 của tài liệu.
Hình 44. - Thiết kế CPU dùng ngôn ngữ VHDL

Hình 44..

Xem tại trang 69 của tài liệu.
5. Màn hình mô phỏng lệnh - Thiết kế CPU dùng ngôn ngữ VHDL

5..

Màn hình mô phỏng lệnh Xem tại trang 70 của tài liệu.

Từ khóa liên quan

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan