Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

80 1.3K 6
Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

BỘ CÔNG THƢƠNG TRƢỜNG ĐẠI HỌC CÔNG NGHIỆP TP HCM KHOA CÔNG NGHỆ ĐIỆN TỬ  ĐỒ ÁN TỐT NGHIỆP Tên đề tài : Giảng viên hƣớng dẫn: Th.S PHẠM TRẦN BÍCH THUẬN Sinh viên thực hiện: NGUYỄN NGỌC VŨ VÕ XUÂN VĂN Lớp: DHDT2B TP Hồ Chí Minh, tháng năm 2010 LỜI MỞ ĐẦU Để đơn giản việc thiết kế số, người thiết kế cần ngôn ngữ chuẩn để mơ tả mạch điện Từ Hardware Description Languages (HDLs) hay cịn gọi ngơn ngữ mô tả phần cứng đời Ngôn ngữ mô tả phần cứng (HDL) loại ngôn ngữ để thể thiết kế phần cứng thông qua phát biểu lời Có ngơn ngữ thơng dụng: Verilog HDL VHDL Ngôn ngữ mô tả phần cứng ngày thông dụng đánh dấu phát triển mạnh mẽ hệ thống nhúng Nắm tầm quan trọng vấn đề với định hướng giáo viên hướng dẫn đề nhóm chúng em tiến hành nghiên cứu triển khai hệ thồng nhúng kit Spartan-3E, với mong muốn xây dựng mơ hình “Giám sát điều khiển thiết bị theo nhiệt độ phòng ” lấy làm đề tài tốt nghiệp cho Nội dung đề tài bao gồm phần sau: Tìm hiểu cấu trúc kít Vi điều khiển nhúng Microblaze Tìm hiểu phần mềm EDK Thiết kế ứng dụng nhúng kit Mặc dù cố gắng để hồn thành tập đề tài, khơng tránh khỏi thiếu sót mong q thầy thơng cảm Rất mong góp ý thầy Nhóm sinh viên thực Nguyễn Ngọc Vũ Võ Xuân Văn LỜI CẢM ƠN Chúng em xin gửi lời cảm ơn đến thầy cô khoa Công Nghệ Điện Tử trường đại học Cơng Nghiệp Thành Phố Hồ Chí Minh, tạo điều kiện cho mượn phịng thí nghiệm giúp đỡ động viên để em hồn thành đề tài Chúng em xin chân thành cảm ơn Cô Phạm Trần Bích Thuận, hướng dẫn tận tình tạo điều kiện cho chúng em suốt thời gian làm đề tài Nhóm sinh viên thực Nguyễn Ngọc Vũ Võ Xuân Văn NHẬN XÉT CỦA GIẢNG VIÊN HƢỚNG DẪN Giáo viên hướng dẫn: PHẠM TRẦN BÍCH THUẬN Nhóm SVTH: NGUYỄN NGỌC VŨ MSSV: 06055861 VÕ XUÂN VĂN Lớp: MSSV: 06052721 DHDT2B CHỮ KÝ CỦA G IẢNG VIÊN HƢỚNG DẪN ……….,Ngày … Tháng …Năm 2010 Phạm Trần Bích Thuận NHẬN XÉT CỦA GIẢNG VIÊN PHẢN BIỆN Giáo viên phản biện: Nhóm SVTH: NGUYỄN NGỌC VŨ MSSV: 06055861 VÕ XUÂN VĂN Lớp: MSSV: 06052721 DHDT2B CHỮ KÝ CỦA G IẢNG VIÊN PHẢN BIỆN …….,Ngày … Tháng …Năm 2010 MỤC LỤC Trang Chƣơng 1: GIỚI THIỆU VỀ CHIP FPGA VÀ NGÔN NGỮ ĐẶC TẢ PHẦN CỨNG VHDL 1.1 KHÁI QUÁT VỀ CHIP FPGA: 1.1.1 Khái niệm: .1 1.1.2 Ứng dụng: 1.2 NGÔN NGỮ ĐẶC TẢ PHẦN CỨNG VHDL: 1.2.1 Giới thiệu: 1.2.2 Cấu trúc file VHDL: .3 1.2.3 Cấu trúc từ vựng: 1.2.4 Các đối tượng liệu VHDL: 1.2.5 Kiểu liệu: 1.2.6 Các lệnh tuần tự: 10 Chƣơng 2: CẤU TRÚC KIT SPARTANT-3E, VI ĐIỀU KHIỂN MICROBLAZE VÀ PHẦN MỀM EDK CỦA HÃNG XILINK 14 2.1 CẤU TRÚC KIT SPARTANT–3E CỦA XILINX: 14 2.1.1 Các thành phần kit SPARTANT – 3E: 14 2.1.2 Bộ tạo xung clock cho FPGA: 15 2.1.3 Các nút chuyển nút nhấn Led: 15 2.1.4 Khối LCD: 17 2.1.5 Bộ biến đổi tương tự sang số: 18 2.2 VI ĐIỀU KHIỂN NHÚNG MICROBLAZE: .23 2.2.1 Giới thiệu vi điều khiển Microblaze: 23 2.2.2 Kiến trúc MicrroBlaze: 24 2.2.3 Kiến trúc Pipeline vi điều khiển MicroBlaze: 25 2.2.4 Giao tiếp tính hiệu MicroBlaze: .25 2.3 PHẦN MỀM EDK: 27 2.3.1 Giới thiệu Phần mềm EDK: .27 2.3.2 Các tập lệnh EDK: 27 2.3.3 Cách tạo Project với phần mềm EDK: .32 Chƣơng 3: PHẦN MỀM VB VÀ G IAO DIỆN ĐIỀU KHIỂN 39 3.1 GIỚI THIỆU VỀ NGƠN NGỮ LẬP TRÌNH VB.NET: .39 3.2 LẬP TRÌNH GIAO TIẾP CỔNG NỐI TIẾP VB.NET: .39 3.2.1 Tự động dị tìm cổng COM: 39 3.2.2 Đóng mở cổng COM: 39 3.2.3 Nhận liệu từ cổng COM: 40 3.2.4 Gửi liệu cổng COM: 40 3.2.5 Cài đ ặt tham số cho cổng COM: .41 3.2.6 Viết chương trình: .41 Chƣơng 4: TRIỂN KHAI XÂY DỰNG HỆ THỐNG NHÚNG TRÊN KIT SPARTANT 3E 53 4.1 SƠ ĐỒ TỔNG QUÁT VỀ ỨNG DỤNG NHÚNG: 53 4.2 XÂY DỰNG CÁC CHIP NHÚNG: 54 4.2.1 Khối cảm biến nhiệt: 54 4.2.2 Khối điều khiển Camera: 58 4.3 XÂY DỰNG CÁC ỨNG DỤNG NHÚNG: 61 4.3.1 Sơ đồ giải thuật: 61 KẾT LUẬN 70 PHỤ LỤC CÁC TỪ VIẾT TẮC 71 DANH MỤC TÀI LIỆU THAM KHẢO .72 DANH MỤC CÁC BẢNG,SƠ ĐỒ, HÌNH Hình 1.1: Cấu trúc file VHDL Hình 2.1: Bộ tạo xung kít Spartan 3e 15 Hình 2.2: Khối SW kit Spartan 3e .16 Hình 2.3: Dạng xung Encoder 16 Hình 2.4: Khối Led kit Spartan 3e .17 Hình 2.5: Khối LCD kit Spartan 3e .17 Hình 2.4: Khối ADC kit Spartan 3e .18 Hình 2.5: Sơ Đồ chân khối ADC 19 Hình 2.6: Tám bit LTC6912 20 Hình 2.7: Cấu trúc IC LTC6912 21 Hình 2.8: Giản đồ thời gian ghi nhận liệu .22 Hình 2.9: Giản đồ giao tiếp LTC1407A 23 Hình 2.10: Kiến trúc vi điều khiển MicroBlaze .24 Hình 2.11: Kiến trúc Pipeline vi điều khiển MicroBlaze 25 Hình 2.12: hệ thống Bus điển hình 26 Hình 2.10: Cửa sổ XPS 32 Hình 2.11: Hộp thoại Create New XPS Project 33 Hình 2.12: Hộp thoại Select Board 34 Hình 2.13: Hộp thoại Select Processor 34 Hình 2.14: Hộp thoại Configure MicroBlaze Processor 35 Hình 2.15: Hộp thoại Configure IO Interfaces 35 Hình 2.16: Hộp thoại Software Setup 36 Hình 2.17: Hộp thoại Configure Memory Test Application 36 Hình 2.18: Hộp thoại System Created 37 Hình 2.19: Cửa sổ System Assembly View .37 Hình 2.20: Block Diagram View of the Generated Project 38 Hình 3.1: Giao diện điều khiển giám sát máy tính .42 Hình 3.2: Giao diện form setting Com 52 Hình 4.1: Sơ đồ tổng quát ứng dụng nhúng 53 Hình 4.2: Sơ đồ giải thuật Khối cảm biến nhiệt 54 Hình 4.3: Sơ đồ giải thuật khối điều khiển Camera 58 Hình 4.4: Sơ đồ giải thuật khối điều khiển camera giao tiếp vb 61 Hình 4.5: Sơ đồ giải thuật khiển điều khiển nhiệt độ giao tiếp vb 61 Chương 1: Giới thiệu chip FPGA ngôn ngữ đặc tả phần cứng VHDL Chƣơng 1: GIỚI THIỆU VỀ CHIP FPGA VÀ NGÔN NGỮ ĐẶC TẢ PHẦN CỨNG VHDL 1.1 KHÁI QUÁT VỀ CHIP FPGA: 1.1.1 Khái niệm: FPGA (Field Programmable Gate Arrays) thiết bị bán dẫn bao gồm khối logic lập trình gọi "Logic Block", kết nối khả trình Các khối logic lập trình để thực chức khối logic AND, XOR, chức kết hợp phức tạp decoder phép tính tốn học Trong hầu hết kiến trúc FPGA, khối logic bao gồm phần tử nhớ Đó Flip-Flop nhớ hoàn chỉnh Các kết nối khả trình cho phép khối logic nối với theo thiết kế người xây dựng hệ thống, giống bảng mạch khả trình Một kiến trúc khác tương tự đơn giản FPGA, CPLD (Complex Programmable Logic Device) Thực chất tiền thân FPGA Năm 1984, Ross Freeman, đồng sáng lập Xilinx phát minh FPGA FPGA CPLD bao gồm số lượng lớn phần tử logic khả trình Mật độ cổng logic (Logic Gate) CPLD nằm khoảng từ vài nghìn 10 nghìn cổng Trong FPGA thơng thường chứa từ 10 nghìn vài triệu cổng Khác biệt FPGA CPLD kiến trúc chúng CPLD có kiến trúc bị giới hạn một vài dãy logic khả trình với lượng nhỏ ghi định thời Do linh hoạt hơn, lại có ưu điểm khả dự đốn trễ lớn tỉ lệ logic-kết nối cao Ngược lại, kiến trúc FPGA lại có trội số lượng kết nối Điều làm cho trở nên linh hoạt (về số lượng thiết kế thực thi bên trong) đồng nghĩa với việc phức tạp trình thiết kế Một khác biệt đáng ý FPGA CPLD là: hầu hết FPGA bao có phần tử chức tích hợp cao (như cộng, nhân tích hợp), nhớ tích hợp Một số kiến trúc FPGA cịn cho phép cấu hình lại phần (partial re-configuration) Có nghĩa cho phép phần thiết kế cấu hình lại thiết kế khác tiếp tục hoạt động GVHD: Phạm Trần Bích Thuận SVTH: Nguyễn Ngọc Vũ – Võ Xuân Văn Chương 1: Giới thiệu chip FPGA ngôn ngữ đặc tả phần cứng VHDL Một ưu điểm khác FPGA, người thiết kế tích hợp vào xử lý mềm (soft processor) hay vi xử lý nhúng (embedded processor) Các vi xử lý thiết kế khối logic thông thường, mà mã nguồn hãng cung cấp, thực thi lệnh theo chương trình nạp riêng biệt, có ngoại vi thiết kế linh động (khối giao tiếp UART, vào/ra đa chức GPIO, ethernet ) Các vi xử lý lập trình lại (re-configurable computing) chạy 1.1.2 Ứng dụng: FPGA ứng dụng điển hình lĩnh vực như: xử lý tín hiệu số, xử lý ảnh, thị giác máy, nhận dạng giọng nói, mã hóa, mô (emulation) FPGA đặc biệt mạnh lĩnh vực ứng dụng mà kiến trúc yê u cầu lượng lớn xử lý song song, đặc biệt mã hóa giải mã FPGA sử dụng ứng dụng cần thực thi thuật toán FFT, nhân chập (convolution), thay cho vi xử lý Hiện công nghệ FPGA sản xuất hỗ trợ phần mềm hãng như: Xilinx, Altera, Actel, Atmel Trong Xilinx Altera hãng hàng đầu Xilinx cung cấp phần mềm miễn phí Windows, Linux, Altera cung cấp cơng cụ miễn phí Windows, Linux, Solaris 1.2 NGÔN NGỮ ĐẶC TẢ PHẦN CỨNG VHDL: 1.2.1 Giới thiệu: VHDL tên viết tắt ngơn ngữ đặc tả phần cứng mạch tích hợp tốc độ cao (Very high speed integrated circuit Hardware Description Language) Đây ngôn ngữ dùng để mô tả hệ thống điện tử số, bao gồm nhữ ng đặc điểm ngơn ngữ lập trình thơng thường Pascal, C, hay ngôn ngữ mô tả logic ABEL – HDL VHDL bao gồm đặc điểm quản lí thiết kế, đặc điểm mà cho phép mơ hình hóa cách xác biến cố xảy VH DL đời nhằm hoàn thiện số yêu cầu quy trình thiết kế, hỗ trợ cho phát triển, hiệu chỉnh, tổng hợp kiểm tra việc thiết kế phần cứng, hỗ trợ cho liên kết liệu thiết kế, cho trì, thay đổi, cải tiến phần cứng Thứ nhất, cho phép mô tả cấu trúc thiết kế, cách thức phân chia thành cấp độ thấp hơn, mức chi tiết liên kết với theo phương thức Thứ GVHD: Phạm Trần Bích Thuận SVTH: Nguyễn Ngọc Vũ – Võ Xuân Văn ... dụng đánh dấu phát triển mạnh mẽ hệ thống nhúng Nắm tầm quan trọng vấn đề với định hướng giáo viên hướng dẫn đề nhóm chúng em tiến hành nghiên cứu triển khai hệ thồng nhúng kit Spartan-3E, với mong... trình: .41 Chƣơng 4: TRIỂN KHAI XÂY DỰNG HỆ THỐNG NHÚNG TRÊN KIT SPARTANT 3E 53 4.1 SƠ ĐỒ TỔNG QUÁT VỀ ỨNG DỤNG NHÚNG: 53 4.2 XÂY DỰNG CÁC CHIP NHÚNG: 54 4.2.1 Khối... 1.2 10 2.5E3 1.2e+6 Biểu diển số theo hệ khác ta tuân theo qui tắc sau hệ số#số# Hệ nhị phân : 2#10010# (là số “18” hệ thập phân ) Hệ thập lục phân : 16#12# Hệ bát phân 8: 8#22# Ký tự sử dụng VHDL

Ngày đăng: 26/04/2013, 10:29

Hình ảnh liên quan

Hình 2.1: Bộ tạo xung trên kít Spartan 3e - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.1.

Bộ tạo xung trên kít Spartan 3e Xem tại trang 23 của tài liệu.
Hình 2.2: Khối SW trên kit Spartan 3e - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.2.

Khối SW trên kit Spartan 3e Xem tại trang 24 của tài liệu.
Hình 2.3: Dạng xung Encoder - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.3.

Dạng xung Encoder Xem tại trang 24 của tài liệu.
Hình 2.5: Khối LCD trên kit Spartan 3e - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.5.

Khối LCD trên kit Spartan 3e Xem tại trang 25 của tài liệu.
Hình 2.4: Khối ADC trên kit Spartan 3e - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.4.

Khối ADC trên kit Spartan 3e Xem tại trang 26 của tài liệu.
Cách tạo độ lợi cho vi mạch LTC6912 được cho trong bảng data sheet sau: - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

ch.

tạo độ lợi cho vi mạch LTC6912 được cho trong bảng data sheet sau: Xem tại trang 27 của tài liệu.
Hình 2.5: Sơ Đồ chân khối ADC - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.5.

Sơ Đồ chân khối ADC Xem tại trang 27 của tài liệu.
2.1.5.2 Giới thiệu hai IC LTC6912 và LTC1407A - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

2.1.5.2.

Giới thiệu hai IC LTC6912 và LTC1407A Xem tại trang 28 của tài liệu.
Hình 2.7: Cấu trúc IC LTC6912 - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.7.

Cấu trúc IC LTC6912 Xem tại trang 29 của tài liệu.
Hình 2.8: Giản đồ thời gian ghi nhận dữ liệu - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.8.

Giản đồ thời gian ghi nhận dữ liệu Xem tại trang 30 của tài liệu.
Hình 2.9: Giản đồ giao tiếp LTC1407A - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.9.

Giản đồ giao tiếp LTC1407A Xem tại trang 31 của tài liệu.
Hình 2.10: Kiến trúc cơ bản của vi điều khiển MicroBlaze - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.10.

Kiến trúc cơ bản của vi điều khiển MicroBlaze Xem tại trang 32 của tài liệu.
Hình 2.12: một hệ thống Bus điển hình - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.12.

một hệ thống Bus điển hình Xem tại trang 34 của tài liệu.
Hình 2.10: Cửa sổ XPS - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.10.

Cửa sổ XPS Xem tại trang 40 của tài liệu.
Hình 2.11: Hộp thoại Create New XPS Project - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.11.

Hộp thoại Create New XPS Project Xem tại trang 41 của tài liệu.
Hình 2.13: Hộp thoại Select Processor - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.13.

Hộp thoại Select Processor Xem tại trang 42 của tài liệu.
Hình 2.12: Hộp thoại Select Board - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.12.

Hộp thoại Select Board Xem tại trang 42 của tài liệu.
Hình 2.15: Hộp thoại Configure IO Interfaces - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.15.

Hộp thoại Configure IO Interfaces Xem tại trang 43 của tài liệu.
Hình 2.16: Hộp thoại Software Setup - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.16.

Hộp thoại Software Setup Xem tại trang 44 của tài liệu.
Hình 2.17: Hộp thoại Configure Memory Test Application - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.17.

Hộp thoại Configure Memory Test Application Xem tại trang 44 của tài liệu.
Hình 2.18: Hộp thoại System Created - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.18.

Hộp thoại System Created Xem tại trang 45 của tài liệu.
Hình 2.20: Block Diagram View of the Generated Project - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 2.20.

Block Diagram View of the Generated Project Xem tại trang 46 của tài liệu.
Hình 3.1: Giao diện điều khiển và giám sát trên máy tính - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 3.1.

Giao diện điều khiển và giám sát trên máy tính Xem tại trang 50 của tài liệu.
Hình 3.2: Giao diện form setting Com - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 3.2.

Giao diện form setting Com Xem tại trang 60 của tài liệu.
Hình 4.1: Sơ đồ tổng quát về ứng dụng nhúng - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 4.1.

Sơ đồ tổng quát về ứng dụng nhúng Xem tại trang 61 của tài liệu.
Hình 4.2: Sơ đồ giải thuật Khối cảm biến nhiệt - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 4.2.

Sơ đồ giải thuật Khối cảm biến nhiệt Xem tại trang 62 của tài liệu.
Hình 4.3: Sơ đồ giải thuật khối điều khiển Camera - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 4.3.

Sơ đồ giải thuật khối điều khiển Camera Xem tại trang 66 của tài liệu.
Hình 4.5: Sơ đồ giải thuật khiển điều khiển nhiệt độ giao tiếp vb - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 4.5.

Sơ đồ giải thuật khiển điều khiển nhiệt độ giao tiếp vb Xem tại trang 69 của tài liệu.
Hình 4.4: Sơ đồ giải thuật khối điều khiển camera giao tiếp vb - Nghiên cứu và triển khai hệ thồng nhúng trên kit Spartan-3E

Hình 4.4.

Sơ đồ giải thuật khối điều khiển camera giao tiếp vb Xem tại trang 69 của tài liệu.

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan