Công nghệ vi điện tử

31 1.2K 13
Công nghệ vi điện tử

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Công nghệ vi điện tử

Đề tài: Tìm hiểu về công nghệ ASIC I – MỞ ĐẦU Công nghệ vi điện tử ngày nay đang chứng kiến một sự thay đổi to lớn: từ những vi mạch được thiết kế bởi các chuyên gia vi mạch, sản xuất với số lượng lớn; chuyển sang các mạch chuyên dụng được thiết kế bởi các kỹ sư hệ thống tại các cơ sở ứng dụng, tại đó không nhất thiết phải đầu cơ sở vật chất để làm công nghệ bán dẫn và có thể sản xuất với số lượng nhỏ. Nhờ công nghệ ASIC (Application-specific Integrated Circuit) nên các mạch tổ hợp lớn trong nhiều trường hợp có thể được “chế tạo” ngay tại các cơ sở ứng dụng. Có được sự thay đổi đó là nhờ việc sử dụng các hệ thống tự động thiết kế CAD (Computer-aided Design). Hiện nay, mạch vi điện tử chuyên dụng đang có nhu cầu thị trường rất cao, chiếm khoảng 42% thị trường IC thế giới, và trong những năm tới sẽ chiếm khoảng 65%. Phát triển ASIC là con đường ngắn để phát triển lĩnh vực công nghệ cao đối với một nước đang phát triển như nước ta hiện nay. Để kích thích sự đam mê của các bạn sinh viên trong trường cũng như sự quan tâm từ phía nhà trường về lĩnh vực công nghệ cao, nhóm chúng em đã mạnh dạn tiếp cận công nghệ này (công nghệ ASIC). Chúng em hy vọng đề tài này sẽ là cầu nối cho những ai muốn tiếp cận công nghệ vi mạch mà chưa có kiến thức về vi mạch. Trang 1 Đề tài: Tìm hiểu về công nghệ ASIC II – NỘI DUNG 1. Tổng quan về ASIC 1.1 ASIC là gì? - ASIC là viết tắt của cụm từ “application-specific integrated circuit” trong tiếng Anh, là một thuật ngữ chỉ các vi mạch tích hợp chuyên dụng trong điện tử học. - ASIC là một vi mạch được thiết kế dành cho một ứng dụng cụ thể. ASIC ngày nay được ứng dụng hầu như khắp mọi nơi, dụ như vi xử lý của điện thoại di động, hay chip xử lý trong các máy móc tự động, các phương tiện truyền thông, xe cộ, tàu vũ trụ, các hệ thống xử lý, các dây chuyền công nghiệp . - Khái niệm ASIC là một khái niệm tương đối rộng và liên quan đến công nghệ thiết kế sản xuất IC chứ không phải gắn với một dòng sản phẩm hay thiết bị cụ thể. - Đối lập với ASIC là các vi mạch tích hợp cho mục đích chung như 7400 series và 4000 series những vi mạch này có thể liên kết để tạo ra nhiều ứng dụng khác nhau. Ngoài ra tính tương đối của việc phân loại theo mục đích sử dụng mà còn có thêm khái niệm Application Specific Standard Product (ASSP) – thiết bị chuẩn cho ứng dụng đặc biệt, chỉ đến những vi mạch nằm giữa hai khái niệm ASIC và vi mạch tích hợp cho mục đích chung. 1.2 Các loại ASIC  Full-custom ASIC (ASIC đặc chế hoàn toàn)  Semi-custom ASIC (ASIC đặc chế bán phần) o Standard-Cell-Based ASIC: ASIC dựa trên các tế bào chuẩn. o Gate-Array-Based ASIC: ASIC dựa trên mảng cổng lôgíc  Progammable ASIC (ASIC lập trình được) o Programmable Logic Devices (PLD): Thiết bị logic có thể lập trình được. o Field-Programmable Gate Array (FPGA): Mảng cổng lôgíc có thể lập trình được theo trường/miền. 1.2.1 Full-custom ASIC Trong một full-custom ASIC, người kỹ sư thiết kế một số hoặc tất cả các cell logic, các mạch hoặc layout một cách cụ thể cho một ASIC điều này có nghĩa là người kỹ sư từ bỏ phương pháp sử dụng các cell được mô tả trước và đã được kiểm tra trước cho tất cả hoặc một phần của thiết kế. Điều này có nghĩa phương pháp này chỉ có ý nghĩa nếu không sẵn có các thư viện cell hiện hành thích hợp, các thư viện này có thể được sử dụng cho toàn bộ thiết kế. Điều này có thể do các thư viện cell hiện hành không đủ nhanh hoặc các cell logic không đủ nhỏ hoặc tiêu thụ nhiều công suất. Ta có thể cần sử dụng thiết kế full-custom nếu công nghệ ASIC là mới hoặc chuyên dụng đến mức không có các thư viện hiện hành nào hoặc do ASIC chuyên dụng đến mức một số mạch phải được thiết kế tuỳ thuộc vào khách hàng. Ngày càng ít các full-custom IC được thiết kế do có nhiều vấn đề với các thành phần đặc biệt này của ASIC. Tuy nhiên có một thành viên của họ này đang lớn mạnh, ASIC hỗn hợp tương tự-số. Trang 2 Đề tài: Tìm hiểu về công nghệ ASIC 1.2.2 Standard-Cell-Based ASIC Các ASIC dựa trên cell-based IC (CBIC —một từ thông dụng ở Nhật Bản) sử dụng các logic cell thiết kế sẵn ( cổng AND, cổng OR, multiplexers, và flip-flops) được gọi là standard cells. Chúng ta có thể sử dụng thuật ngữ CBIC cho tất cả các IC sử dụng cell, nhưng thông thường cell-based ASIC hoặc CBIC có nghĩa là standard cells-based ASIC. Các vùng standard cells (hay còn gọi là flexible blocks) trong CBIC được tạo nên từ nhiều hàng của standard cells-giống như bức tường xây từ các viên gạch. Các standard cells có thể sử dụng để kết hợp với các cells lớn đã được thiết kế sẵn, có thể là bộ vi điều khiển hoặc ngay cả là bộ vi xử lý, được biết như là megacell. Megacell còn được gọi là megafunction, full-custom block, system- level macros (SLMs), fixed blocks, cores, or Functional Standard Blocks (FSBs). Người thiết kế ASIC chỉ định nghĩa vị trí của standard cells và interconnect trong 1 CBIC. Tuy nhiên, standard cells có thể được đặt bất kỳ đâu trên silicon, điều này có nghĩa là tất cả các mask layers của CBIC được thiết kế theo yêu cầu và là duy nhất đối với từng khách hàng. Thuận lợi của CBIC là người thiết kế sẽ tiết kiệm được thời gian, tiền bạc, và giảm rủi ro bằng việc sử dụng thư viện standard-cell đã thiết kế sẵn và đã được kiểm tra trước.thêm vào đó, mỗi standard-cell có thể được xem xét một cách riêng lẻ. Trong quá trình thiết kế thư viện cell, từng transitor trong mỗi standard-cell có thể được chọn đến tốc độ cực đại hay diện tích tối thiểu,ví dụ vậy. Bất lợi của CBIC là thời gian hay chi phí thiết kế hoặc mua thư viện standard-cell là rất cao và thời gian cần để chế tạo tất cả layers của ASIC cho mỗi thiết kế mới là rất dài. Các tính chất quan trọng của ASIC loại này: - Tất cả các mask layer đều tùy thuộc vào khách hàng – các transistor và các interconnect. - Các khối tùy thuộc vào khách hàng có thể được nhúng(embedded). - Thời gian sản xuất khoảng 8 tuần lễ. Standard-cell area: miền cell chuẩn. Fixed blocks: các khối cố định. 1.2.3 Gate-Array-Based ASIC Trang 3 Hình: khuôn của ASIC dựa trên cell (CBIC) có một miền cell chuẩn duy nhất (khối linh hoạt) cùng với 4 khối cố định Đề tài: Tìm hiểu về công nghệ ASIC Trong một dải cổng GA (gate array) hoặc ASIC dựa trên dải cổng (gate array based ASIC), các transsitor được xác định trên miếng silic. Biểu đồ xác định trước các transsitor trên một dải cổng là dải nển (base array), và thành phần nhỏ nhất được tạo bản sao để thực hiện dải nền là cell nền (base cell), giống như các viên gạch trên sàn nhà (thỉnh thoảng được gọi là cell sơ cấp (primitive cell)). Chỉ vài lớp kim loại trên đỉnh, các lớp này xác định liên kết nối giữa các transsitor, được xác định bởi người thiết kế bằng cách sử dụng các mặt nạ tuỳ thuộc vào khách hàng (custom mask). Để phân biệt loại dải cổng này với các loại dải cổng khác, dải cổng này thường được gọi là dải cổng được lập mặt nạ MGA (masked gate array). Người thiết kế chọn các cell logic được thiết kế trước và được mô tả trước từ thư viện dải cổng. Các cell logic trong một thư viện dải cổng thường được gọi là các macro. Lý do cho việc gọi này là các layout cell nên (base-cell layout) giống nhau cho mỗi một cell logic, và chỉ có liên kết nối (bên trong các cell và giữa các cell) là tuỳ thuộc vào khách hàng, sao cho có một tương đồng giữa các macro dải cổng và macro của phần mềm. Bên trong IBM, các macro dải cổng được gọi là các book (do vậy các book là phần của thư viện), nhưng thật không may thuật ngữ mô tả này không được sử dụng phổ biến bên ngoài IBM. Ta có thể hoàn tất các bước khếch tán tạo thành các transsitor và kế đến dự trữ các miếng silic (đôi khi ta gọi một dải cổng là một dải được khếch tán trước (prediffused array) do bởi lý do này. chỉ có các liên kết nối các kim loại là duy nhất đối với MGA, ta có thể sử dụng các miếng silic được dự trữ cho nhiều khách hàng khác nhau khi cần đến. Việc sử dụng các miếng silic được sản xuất trước các bước kim loại hoá làm giảm thời gian cần thiết để chế tạo một MGA, thời gian thay đổi hoàn toàn (turnaround), còn vài ngày hoặc tối đa là hai tuần. Giá thành cho tất cả các bước chế tạo ban đầu đối với MGA được chia sẻ cho mỗi một khách hàng và điều này làm giảm giá thành của MGA so với thiết kế full-custom ASIC hoặc ASIC dựa trên cell chuẩn. Ta có các loại MGA hoặc ASIC dưa trên dải cổng khác nhau sau đây: - Dải cổng được chia kênh (channeled gate-array). - Dải cổng không chia kênh (channeless gate-array). - Dải cổng có cấu trúc (structured gate-array). a. Dải cổng được chia kênh Đặc điểm quan trọng của loại MGA này là : - Chỉ có liên kết nối là tùy biến. - Liên kết nối sử dụng các khoảng trống xác định trước giữa các hàng của base cell. - Thời gian sản xuất 2 ngày đến 2 tuần. Trang 4 Đề tài: Tìm hiểu về công nghệ ASIC Base cell: cell nền. b. Dải cổng không chia kênh Dải cổng không chia kênh (còn gọi là dải cổng kênh tự do[channel-free gate array], dải nhiều cổng[sea-of-gate array] hoặc dải SOG). Các tính chất quan trọng: o Chỉ có một số lớp mặt nạ tùy thuộc vào khách hàng – liên kết nối. o Thời gian sản xuất từ 2 ngày đến 2 tuần. Base cell:cell nền. Array of base cells (not all show): dải các cell nền (không được trình bày) Sự khác biệt giữa channelless gate array và channeled gate array là không có vùng diện tích nào định nghĩa sẵn dành cho routing giữa cell trên 1 channelless gate array. Thay vậy ta phải định vị trên đỉnh của thiết bị. chúng ta có thể làm điều này bởi chúng ta tùy biến contact layer ( định nghĩa sự kết nối giữa metal1, lớp kim loại đàu tiên và transistor). c. Dải cổng có cấu trúc Dải cổng được nhúng (embedded gate array) hoặc dải cổng có cấu trúc (structured gate array) kết hợp một số tính chất của CBIC và một số tính chất của MGA. Một trong những điểm bất lợi của MGA là cell nền dải cổng cơ bản cố định. Điều này làm cho việc thực hiện, thí dụ, bộ nhớ sẽ khó khăn và không hiêu quả. Trong một dải cổng được nhúng ta dự trữ một vùng nào đó trong IC và dành riêng vùng này cho chức năng cụ thể. Vùng được nhúng này hoặc có thể chứa một cell nền khác thích hợp hơn đối với việc xây dựng các cell nhớ, hoặc có thể chứa một khối mạch hoàn chỉnh, chẳng hạn như một bộ vi điều khiển. Trang 5 Hình: Khuôn của dải cổng được chia kênh. Các khoảng cách giữa các hàng cell nền được để dành cho liên kết nối Hình: Khuôn dải cổng không chia kênh. Diện tích lõi của die lấp đầy hoàn toàn bởi mảng của base cell (the base array) Đề tài: Tìm hiểu về công nghệ ASIC Các tính chất quan trọng của loại MGA này như sau: o Chỉ có liên kết nối tuỳ thuộc vào khách hảng. o Các khối tuỳ thuộc vào khách hàng (giống nhau cho mỗi một thiết kế) có thể được nhúng. o Thời gian sản xuất từ 2 ngày 2 hai tuần. Embeded block: khối nhúng. Array of base cells (not all show): dải các cell nền (không được trình bày). 1.2.4 Programmable Logic Devices (PLD) Các linh kiện logic lập trình được PLD (programmable logic device) là các IC chuẩn có sẵn dưới dạng các cấu hình chuẩn từ sách tra cứu các linh kiện và được bán với số lượng lớn đến nhiều khách hàng khác nhau. Tuy nhiên, các PLD có thể được cấu hình hoặc được lập trình để tạo ra phần tuỳ thuộc khách hàng cho một ứng dụng cụ thể, và do vậy chúng cũng thuộc vào họ các ASIC. Các PLD sử dụng các công nghệ khác nhau để cho phép ta lập trình chip. Hình sau trình bày một PLD và các tính chất quan trọng mà tất cả các PLD đểu có: - Không có lớp mặt nạ hoặc các cell logic nào tùy thuộc vào khách hàng. - Thời gian thiết kế nhanh. - Khối liên kết nối lập trình được lớn, duy nhất. - Ma trận microcell logic thường bao gồm mạch logic dải lập trình được PAL(programmable array logic), theo sau bởi một flipflop hoặc bộ chốt. Trang 6 Hình: Khuôn của dải cổng được nhúng hoặc có cấu trúc trình bày một khối nhúng ở góc trên bên trái. Phần còn lại của khuôn được làm đầy bằng dải các cell chuẩn. Hình Khuôn của chip logic lập trình được PLD. Các macrocell điển hình bao gồm mạch logic dải lập trình được PAL được theo sau bởi một flipflop hoặc bộ chốt. Các macrocell được kết nối bằng cách sử dụng khối liên kết nối lập trình được lớn. Đề tài: Tìm hiểu về công nghệ ASIC 1.2.5 Field-Programmable Gate Array (FPGA) Trên PLD một bậc về độ phức tạp là dải cổng lập trình được dạng trường FPGA. Sự khác biệt giữa FPGA và PLD đó là FPGA lớn hơn và phức tạp hơn so với PLD. FPGA là thành viên mới trong họ ASIC và đang phát triển rất nhanh chóng, thay thế TTL trong hệ thông vi điện tử. Hình sau minh họa các đặc tính chủ yếu của một FPGA - Không có lớp mặt nạ nào tùy thuộc vào khách hàng. - Phương pháp lập trình các cell logic cơ bản và liên kết nối. - Lõi là dải có hệ thống các cell logic cơ bản lập trình được, có thể thực hiện hệ tổ hợp cũng như hệ tuần tự. - Ma trận liên kết nối lập trình được bao quanh các cell logic cơ bản. - Các cell I/O lập trình được bao quanh lõi. - Thời gian thiết kế chỉ mất vài giờ. Programmable basic logic cell: cell logic cơ bản lập trình được. Programmable interconnect: liên kết nối lập trình được. Trang 7 Hình: Khuôn của dải lập trình được FPGA. Tất cả các FPGA đều chứa một cấu trúc có hệ thống các cell logic cơ bản lập trình được, được bao quanh bởi các liên kết nối lập trình được. Loại, kích thước và số cell logic lập trình cơ bản được biến thiên rất lớn. Đề tài: Tìm hiểu về công nghệ ASIC 2. Qui trình thiết kế ASIC 2.1 Qui trình thiết kế chung Hình 2.1: ASIC design flow 1. Mô tả bài toán: sử dụng ngôn ngữ mô tả phần cứng HDL (VHDL hay Verilog). 2. Tổng hợp logic: dùng HDL và công cụ tổng hợp logic để xây dựng netlist – là sự mô tả các tế bào (cell), các khối (block) và kết nối (interconnect) giữa chúng. 3. Phân chia hệ thống: chia hệ thống lớn thành các phần thích hợp. 4. Mô phỏng tiền layout: kiểm tra tính đúng đắn của thiết kế (tiền layout = sơ đồ mạch logic – chỉ gần đúng với thực tế). 5. Sắp xếp các khối trên chip: sắp xếp các khối của netlist trên chip. Nên xem xét cả khía cạnh vật lý và logic khi thiết kế bước này. 6. Bố trí cell: định vị cell bên trong khối. 7. Thiết kế tuyến: kết nối giữa các cell và các khối. 8. Kiểm tra tính hợp lý của bước 7: tính toán trở kháng và dung kháng lớp interconnect. 9. Mô phỏng hậu layout: kiểm tra khả năng làm việc ổn định của toàn bộ thiết kế trong trường hợp có thêm tải từ lớp interconnect (hậu layout = sơ đồ mạch thựctế). Trong đó: Các bước thiết kế 1 - 5: logic. Trang 8 Đề tài: Tìm hiểu về công nghệ ASIC Các bước thiết kế 5 – 9 : vật lý. 2.2 Qui trình thiết kế cụ thể: Hình 2.2: Quy trình thiết kế ASIC 2.2.1 Thiết kế kiến trúc (Architecture design) Trang 9 Đề tài: Tìm hiểu về công nghệ ASIC ASIC với ý nghĩa là IC cho một ứng dụng riêng biệt, nên xuất phát từ mỗi ứng dụng trong thực tiễn cuộc sống, sẽ đặt ra yêu cầu phải thiết kế nên IC thỏa mãn tốt nhất những yêu cầu của ứng dụng đó. Thiết kế kiến trúc(Architecture design) là bước đầu tiên của quy trình thiết kế, bước này có nhiệm vụ tiếp nhận các yêu cầu của thiết kế và xây dựng nên kiến trúc tổng quát của thiết kế. Người thực hiện thiết kế kiến trúc phải là người nhìn nhận được một cách tổng quan thiết kế và phải là người nắm bắt được khả năng của công nghệ, am hiểu được toàn bộ các bước thiết kế xuyên suốt qui trình. Các bước tổng quan của một qui trình Thiết Kế Kiến Trúc: - Define overall chip : Trong bước này, từ những yêu cầu của thiết kế và dựa trên khả năng của công nghệ hiện có, người thiết kế kiến trúc sẽ xây dựng nên toàn bộ kiến trúc tổng quan cho thiết kế .Nghĩa là trong bước này người thiết kế kiến trúc phải mô tả được những vấn đề sau :  Thiết kế có những khối nào ?  Mỗi khối có chức năng gì ?  Hoạt động của thiết kế và của mỗi khối ra sao ?  Phân tích các kỹ thuật sử dụng trong thiết kế và các công cụ, softwave hỗ trợ thiết kế. - Initial Floorplan : Bước tiếp theo trong thiết kế kiến trúc đó là việc phân bố, sắp xếp các khối có trong thiết kế. Đây chính là bước định hình cho toàn bộ thiết kế. Việc phân bố, sắp xếp các khối phải theo những nguyên tắc nhất định. Kiến trúc của thiết kế ảnh hưởng rất lớn đến chất lượng của thiết kế và ảnh hưởng đến các bước sau của quy trình cho nên việc phân bố kiến trúc phải làm sao cho thiết kế tối ưu nhất . - RTL or C model: Bước này sử dụng ngôn ngữ mô tả phần cứng hoặc ngôn ngữ C để mô phỏng tổng thể hoạt động của thiết kế. Nhằm mục đích kiểm tra sơ bộ xem thiết kế có hoạt động đúng về mặt chức năng chưa, nếu chưa đúng thì phải chỉnh sửa lại các bước trên. Bước này còn có ý nghĩa mô tả cụ thể hơn, làm rõ ràng hơn cho kiến trúc của thiết kế, giúp cho việc nắm bắt ý đồ thiết kế và việc thực hiện thiết kế ở bước sau này được thuận lợi hơn . 2.2.2 Thiết kế logic (Logic Design) Trang 10 [...]... Đề tài: Tìm hiểu về công nghệ ASIC Bước 20 Để kết thúc quá trình simulate bạn có thể vào Simulate -> End Simulate Trang 29 Đề tài: Tìm hiểu về công nghệ ASIC III – KẾT LUẬN Tóm lại, ASIC là một vi mạch được thiết kế dành cho một ứng dụng đặc biệt ASIC có thể được thiết kế bởi các kỹ sư chứ không nhất thiết là các chuyên gia(trong thiết kế vi mạch đa dụng) ASIC được xây dựng bằng vi c kết nối các khối... tiếp Trang 17 Đề tài: Tìm hiểu về công nghệ ASIC Bước 4: Bạn nhấn Yes để chấp nhận các yêu cầu về license của Altera Bước 5: Tiếp theo bạn nhấn Browse để lựa chọn đường dẫn cài đặt mới hoặc có thể để thư mục mặc định Sau đó nhấn Next Trang 18 Đề tài: Tìm hiểu về công nghệ ASIC Bước 6: Tiếp tục bạn nhấn Next, hoặc nhập tên thư mục mới vào Trang 19 Đề tài: Tìm hiểu về công nghệ ASIC Bước 7: Trong cửa sổ... Trang 26 Đề tài: Tìm hiểu về công nghệ ASIC Bước 15 Biên dịch file testbench sau đó nhấp vào tab Library Toàn bộ file trong project sẽ được biên dịch vào thư vi n word Bạn mở thư vi n này sẽ thấy các file vừa mới tạo (xem hình) Bước 16 Nhấp chuột phải vào file Testbench và chọn Simulate để thực hiện quá trình simulate (mô phỏng) file testbench Trang 27 Đề tài: Tìm hiểu về công nghệ ASIC Bước 17 Cửa sổ... đặt chúng vào các vị trí tối ưu cho vi c chạy dây Sắp xếp các vùng đặt các IO cells, các power pad, standard cells Lập kế hoạch cho vi c cung cấp nguồn VDD, VSS cho các khối Trang 15 Đề tài: Tìm hiểu về công nghệ ASIC - Place & Route: bước này được thực hiện vi c đặt và nối dây các cell ở tầng trên cùng được gọi là chip level nhờ các phần mềm hỗ trợ Để thực hiện vi c này, chúng ta cần có các thông... điện dung, tiêu thụ năng lượng, các luật về sự dẫn điện trong mỗi lớp - Post Route View, Edit và plot: bước này thực hiện xem xét, kiểm tra, và chỉnh sửa sau khi đã thực hiện chạy dây để đảm bảo toàn bộ yêu cầu của nhà sản xuất, đảm bảo là các kết nối đã hoàn chỉnh và thực hiện kiểm tra các giá trị điện trở và điện dung sau khi chạy dây Sau khi hoàn tất vi c kiểm tra, ta sẽ thực hiện biên dịch sang định... hành tổng thể của mạch Quá trình mô phỏng và kiểm tra bao gồm các công đoạn như sau :  Mô phỏng bằng công cụ HSPICE: Kiểm tra tốc độ thực hiện của thiết kế Nếu tốc độ hoạt động chưa đạt yêu cầu, sẽ quay lại bước thực hiện mạch để tiếp tục tối ưu  Mô phỏng bằng công cụ HSIM: Kiểm tra chức năng hoạt động và công suất tiêu thụ của mạch Vi c kiểm tra chức năng được thực hiện bằng cách cho thiết kế thực... về công nghệ ASIC Bước 14 Sau khi đã có file license bạn tiếp tục tạo 2 biến môi trường để chỉ đến vị trí của file license Đầu tiên bạn nhấp chuột phải vào Mycomputer (trên desktop) chọn Properties Trong cửa sổ properties bạn chọn tab advanced Bước 15 Tiếp tục bạn nhấp nút vào nút Environment Variables, cửa sổ chứa các biến môi trường sẽ được hiễn thị như hình vẽ Trang 22 Đề tài: Tìm hiểu về công nghệ. .. xong code verilog bạn nhấp vào nút trên thanh công cụ để biên dịch Trang 25 Đề tài: Tìm hiểu về công nghệ ASIC chương trình Cửa sổ lệnh sẽ cho biết chương trình biên dịch có thành công hay không (xem hình) Bước 9 Tiếp theo để kiểm thử ta phải hiện thực thêm file testbench File TestBench này sẽ sử dụng chương trình chính (cổng AND) như là một linh kiện thư vi n, và nhiệm vụ chính của file testbench là... phần floorplan Với bước floorplan tốt, vi c thực hiện sẽ dễ dàng Trong bước này bao gồm cả vi c tạo thư vi n và thực hiện thiết kế cho các bản vẽ cụ thể Ở bước này thực hiện chạy các phần mềm CALIBRE hoặc HERCULES để kiểm tra lỗi DRC, LVS cho các cell, với các cell quan trọng như các bitcell là cell lưu trữ dữ liệu sẽ được xem xét, kiểm tra và đo đạc các điện trở, điện dung để đảm bảo được các yêu cầu... hình (full configuration) được xây dựng cho vi c kiểm tra chức năng thiết kế và công suất tiêu, sơ đồ nguyên lý rút gọn thiết kế (critical path) được xây dựng cho vi c kiểm tra tốc độ thiết kế… - Mô phỏng mạch điện (Circuit simulation): Sau khi các sơ đồ nguyên lý đã được xây dựng (ở bước trên), bước này sẽ thực hiện mô phỏng chúng bằng các trình mô phỏng công nghiệp với độ chính xác cao, với các điều . Đề tài: Tìm hiểu về công nghệ ASIC I – MỞ ĐẦU Công nghệ vi điện tử ngày nay đang chứng kiến một sự thay đổi to lớn: từ những vi mạch được thiết. quan tâm từ phía nhà trường về lĩnh vực công nghệ cao, nhóm chúng em đã mạnh dạn tiếp cận công nghệ này (công nghệ ASIC). Chúng em hy vọng đề tài này

Ngày đăng: 23/04/2013, 15:35

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan