Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính

90 696 1
Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

BỘ GIÁO DỤC VÀ ĐÀO TẠO PHẠM ĐỨC THUẬN TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT HƯNG YÊN Ket-noi.com chia se PHẠM ĐỨC THUẬN TRIỂN KHAI HỆ THỐNG NHÚNG TRÊN NIOS VÀ ỨNG DỤNG ĐIỀU KHIỂN THIẾT BỊ QUA MÁY TÍNH ĐỒ ÁN TỐT NGHIỆP ĐẠI HỌC 2014 HƯNG YÊN - 2014 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT HƯNG YÊN PHẠM ĐỨC THUẬN TRIỂN KHAI HỆ THỐNG NHÚNG TRÊN NIOS VÀ ỨNG DỤNG ĐIỀU KHIỂN THIẾT BỊ QUA MÁY TÍNH NGÀNH: CÔNG NGHỆ THÔNG TIN CHUYÊN NGÀNH: CÔNG NGHỆ MÁY TÍNH ĐỒ ÁN TỐT NGHIỆP ĐẠI HỌC NGƯỜI HƯỚNG DẪN Th.S LÊ TRUNG HIẾU HƯNG YÊN - 2014 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính MỤC LỤC MỤC LỤC DANH SÁCH HÌNH VẼ DANH SÁCH BẢNG BIỂU DANH SÁCH TỪ VIẾT TẮT 10 CHƯƠNG 1: TỔNG QUAN VỀ ĐỀ TÀI 11 1.1 Lý chọn đề tài 11 1.2 Mục tiêu đề tài 12 1.3 Giới hạn phạm vi đề tài 12 1.4 Nội dung thực 12 1.5 Phương pháp tiếp cận 13 CHƯƠNG 2: CƠ SỞ LÝ THUYẾT 14 2.1 Tổng quan FPGA 14 2.1.1 FPGA gì? 14 2.1.2 Tóm tắt lịch sử phát triển FPGA 14 2.1.3 Tại cần sử dụng FPGA 15 2.1.4 Các ứng dụng FPGA 16 2.1.5 Cấu trúc FPGA 16 2.2 KIT Altera DE2 18 2.3 Phần mềm Quartus II 21 2.3.1 Giới thiệu Quartus II 21 2.3.2 Thiết kế dự án Quartus II 23 2.3.3 Xây dựng hệ thống SoPC( Qsys) Quartus II 30 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính 2.4 Phần mềm lập trình NIOS II 35 2.5 Truyền thông qua máy tính 39 2.6 Avalon Bus 43 2.6.1 Avalon Bus Module 45 2.6.2 Các thiết bị ngoại vi Avalon 46 CHƯƠNG 3: NỘI DUNG THỰC HIỆN 48 3.1 Sơ đồ tổng quát hệ thống 48 3.2 Chi tiết thiết kế hệ thống 50 3.2.1 NIOS II Processor – nios2_qsys_0 50 3.2.2 JTAG Debug Module – jtag_uart_0 51 3.2.3 Rs232 – UART( Rs232 Serial Port) 51 3.2.4 SRAM On Chip – onchip_memory2_0 52 3.2.5 EFAN 52 3.2.6 LCD 53 3.2.7 LED Controler – pio_0 – led_green 56 3.2.8 Avalon Bus 56 3.3 Thiết kế giao diện điều khiển máy tính 59 3.4 Lưu đồ thuật toán 61 3.4.1 Quá trình gửi 61 3.4.2 Quá trình nhận 62 3.5 Sơ đồ nguyên lý 64 3.5.1 Kết nối chân tín hiệu điều khiển ngoại vi 64 3.5.2 Sơ đồ ghép nối Rs232 65 3.5.3 Sơ đồ module hình LCD 66 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính 3.5.4 Sơ đồ nguyên lý Relay kênh 67 3.6 Hình ảnh module thực tế 69 CHƯƠNG 4: KẾT LUẬN 70 4.1 Kết đạt đề tài 70 4.2 Hạn chế đề tài 71 4.3 Hướng phát triển đề tài 71 TÀI LIỆU THAM KHẢO 72 PHỤ LỤC 73 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính DANH SÁCH HÌNH VẼ Hình 2-1: Cấu trúc tổng thể FPGA 16 Hình 2-2: Khối logic FPGA 17 Hình 2-3: KIT Altera DE2 19 Hình 2-4: Các thành phần KIT Altera DE2 19 Hình 2-5: Giao diện 21 Hình 2-6: Design Flow 22 Hình 2-7: Khởi tạo dự án 23 Hình 2-8: Đường dẫn, tên dự án, tên thực thể 24 Hình 2-9: Add file 24 Hình 2-10: Chọn Device 25 Hình 2-11: Công cụ thiết kế, mô 26 Hình 2-12: Kết thúc 26 Hình 2-13: New Source 27 Hình 2-14: Trình soạn thảo Quartus II 27 Hình 2-15: Cửa sổ Assignment Editor dùng để gán chân 28 Hình 2-16: Cửa sổ Programer 29 Hình 2-17: Đường dẫn đến thư mục Usb-Blaster Driver 30 Hình 2-18: Chọn hệ thống SoPC dựa ngôn ngữ Verilog VHDL 31 Hình 2-19: Chọn NIOS II processor 32 Hình 2-20: Chọn Debug level 32 Hình 2-21: Tạo nhớ hệ thống 33 Hình 2-22: JTAG UART 34 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính Hình 2-23: Hệ thống SoPC tối thiểu 34 Hình 2-24: Tạo thêm I/O cần thiết cho hệ thống NIOS II 35 Hình 2-25: Chọn workspace cho dự án 36 Hình 2-26: Giao diện chương trình phần mềm NIOS II 36 Hình 2-27: Tạo dự án với NIOS II 37 Hình 2-28: Trình soạn thảo 38 Hình 2-29: Nạp xuống KIT 38 Hình 2-30: Rs232 Pin table 41 Hình 2-31: Sơ đồ khối Avalon bus module thiết kế 46 Hình 3-1: Sơ đồ tổng quát hệ thống 48 Hình 3-2: Chọn phiên NIOS II/e 50 Hình 3-3: Component Jtag_uart 51 Hình 3-4: Component Rs232 51 Hình 3-5: SRAM On Chip 52 Hình 3-6: EFAN 52 Hình 3-7: Lcd_data 53 Hình 3-8: Lcd_rw 54 Hình 3-9: Lcd_rs 54 Hình 3-10: Lcd_e 55 Hình 3-11: Led control 56 Hình 3-12: Giao tiếp cổng Slave Avalon Bus Module trình đọc từ Slave 57 Hình 3-13: Thời gian trình đọc liệu từ cổng slave 57 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính Hình 3-14: Các tín hiệu giao tiếp thời gian ghi liệu cổng slave 58 Hình 3-15: Giao diện chương trình 60 Hình 3-16: Giao diện chương trình điều khiển 60 Hình 3-17: Lưu đồ thuật toán trình gửi liệu 61 Hình 3-18: Lưu đồ thuật toán trình nhận liệu 63 Hình 3-19: Connect to GPIO1( DE2 KIT) 64 Hình 3-20: Mạch chuẩn giao tiếp Rs232 dùng IC Max232 65 Hình 3-21: Sơ đồ module hình LCD 66 Hình 3-22: Sơ đồ nguyên lý Module Relay kênh 68 Hình 3-23: Module Relay kênh - 5V-220V/10A 69 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính DANH SÁCH BẢNG BIỂU Bảng 3-1: Chân kết nối tín hiệu điều khiển GPIO1 65 Bảng 3-2: Rs232 pin assignments 66 Bảng 3-3: LCD Module pin assignment 67 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính DANH SÁCH TỪ VIẾT TẮT Từ viết tắt ASIC Từ đầy đủ Application-Specific Integrated Giải thích Vi mach tích hợp chuyên dụng Circuit CPLD Complex Programmable Logic Thiết bị logic lập trình Device DE Development and Education FPGA Field programmable gate array Vi mạch dùng cấu trúc mảng phần tử logic lập trình LUT Look Up Table Bảng tìm kiếm PLA Programmable Logic Array Mảng logic khả trình SOPC System on a Programmable Chip Xây dựng hệ thống Builder CHIP VHSIC Hardware Description Ngôn ngữ lập trình phần cứng VHDL Language 10 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính assign dir_export = (counter_phase_store * phase_export) > counter_phase; soc_qsys U( reset_reset_n(reset_n), // reset.reset_n clk_clk(clk), // clk.clk led_red_export(), // led_red.export dir_export(), // dir.export /* sram_DQ(sram_DQ), // sram.DQ sram_ADDR(sram_ADDR), // ADDR sram_LB_N(sram_LB_N), // LB_N sram_UB_N(sram_UB_N), // UB_N sram_CE_N(sram_CE_N), // CE_N sram_OE_N(sram_OE_N), // OE_N sram_WE_N(sram_WE_N), // WE_N */ phase_export(phase_export), efan_export(efan_export_sign), uart_0_rxd(uart_0_rxd), uart_0_txd(uart_0_txd), lcd_data_export(lcd_data), lcd_e_export(lcd_E), lcd_rs_export(lcd_RS), lcd_rw_export(lcd_RW), // // lcd.data E // RS // RW 76 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính pwm_export(pwm_export), // pwm.export led_green_export(led_green) // led_green.export ); endmodule Thư viện LCD display #ifndef _LCD_H_ #define _LCD_H_ #define row1 #define row2 #define col1 #define col2 #define col3 #define col4 #define col5 #define col6 #define col7 #define col8 #define col9 #define col10 #define col11 10 #define col12 11 #define col13 12 77 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính #define col14 13 #define col15 14 #define col16 15 // define for nios II #define LCD_data PIO_3_BASE #define RW PIO_4_BASE #define RS PIO_5_BASE #define E PIO_6_BASE #define output_low(base) IOWR(base, 0, 0) #define output_high(base) IOWR(base, 0, 1) #define output_b(data) IOWR(LCD_data, 0, data) #define delay_ms( n ) usleep(1000*n) #define int8 char #define int16 short unsigned char buff[100]; void lcd_init( void ); void LCD_nibble(unsigned int8 n); void LCD_write(unsigned int8 address, unsigned int8 n); void lcd_gotoxy( unsigned int8 col, unsigned int8 row ); void lcd_clear( unsigned int8 row ); 78 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính void lcd_menu_init( void ); void lcd_puts( unsigned int8 *string ); void lcd_putc( unsigned int8 c ); void lcd_display_string( unsigned int8 *string, unsigned int16 lenght, int16 col, int16 row ); //unsigned int8 lcd_display_page( unsigned int8 *str, unsigned int16 len, INT8 cursor ); //unsigned int8 menu_main( void ); //unsigned int8 first_main( void ); #endif Mã chương trình điều khiển LCD Display #include "lcd.h" #include #include #include #include "system.h" void lcd_init( void ) { unsigned int8 i; output_low(RS); // RS = : address, RS = : data output_low(E); output_low(RW); // E = : disable // RW = : write //LCD_nibble(0x00); // 0x0E : screen and cursor is display on 79 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính LCD_nibble(0x38); delay_ms( 10 ); LCD_nibble(0x308); delay_ms( ); LCD_nibble(0x38); delay_ms( ); //LCD_nibble(0x00); // 0x0E : screen and cursor is display on LCD_nibble(0x0C); delay_ms( 10 ); //LCD_nibble(0x00); // 0x01 : Clear display LCD_nibble(0x1); delay_ms( 10 ); //LCD_nibble(0x0); // 0x06 : increased display and no shift LCD_nibble(0x06); delay_ms( 10 ); LCD_nibble(0x80); // 0x80 : cursor home //LCD_nibble(0x0); delay_ms( 10 ); } void lcd_clear( unsigned int8 row ) { switch( row ) { case row1: 80 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính lcd_gotoxy( col1, row1 ); memset( buff, 0, sizeof buff ); strcpy( buff, " " ); lcd_puts( buff ); lcd_gotoxy( col1, row1 ); break; case row2: lcd_gotoxy( col1, row2 ); memset( buff, 0, sizeof buff ); strcpy( buff, " " ); lcd_puts( buff ); lcd_gotoxy( col1, row2 ); break; default: LCD_write( 0, 0x01 ); lcd_gotoxy( col1, row1 ); break; } } void LCD_nibble( unsigned int8 n ) { output_b( n ); //delay_ms( ); output_high( E ); 81 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính usleep( ); output_low( E ); delay_ms( ); } void LCD_write( unsigned int8 style, unsigned int8 n ) { //set_tris_b(0x00); //set_tris_a(0x20); delay_ms( ); //delay_cycles( 100 ); // At 20 mhz a 5us delay usleep(100); if( style == ) output_high( RS ); else output_low( RS ); output_low(E); LCD_nibble(n); output_low( RS ); output_low(E); } void lcd_gotoxy( unsigned int8 col, unsigned int8 row ) { unsigned int8 address = 0; if( row == ) address = 0x80; else 82 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính address = 0xC0; address += col; LCD_write( 0, address ); } void lcd_putc( unsigned int8 c ) { switch( c ) { case '\f' : LCD_write( 0,1 ); //0x0C delay_ms( ); break; case '\n' : lcd_gotoxy( col1, row2 ); break; case '\b' : LCD_write( 0, 0x10 ); break; default : LCD_write( 1, c ); //0x0A //0x08 break; } } void lcd_puts( unsigned int8 *string ) { //dung dong while thi fai co \n de xuong hang duoi while( *string ) { lcd_putc( *string ); string++; } 83 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính } void lcd_display_string( unsigned int8 *string, unsigned int16 lenght, int16 col, int16 row ) { //dung dong while thi fai co \n de xuong hang duoi unsigned int16 len = 0; while( *string ) { if( col > col16 ) { col = col1; if( row == row1 ) row = row2; else break; } lcd_gotoxy( col, row ); lcd_putc( *string ); string++; col++; len++; if( len > lenght ) break; } } void lcd_menu_init( void ) { 84 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính unsigned int8 i = 2; memset( buff, 0, sizeof buff ); strcpy( buff, " ALTERA DE2 KIT \nNice To See You " ); while( i > ) { lcd_gotoxy( col1, row1 ); lcd_puts( buff ); // while( 1); delay_ms( 1000 ); lcd_clear( ); delay_ms( 300 ); i ; } lcd_puts( buff ); delay_ms( 100 ); } Mã chương trình điều khiển hệ thống #include "sys/alt_stdio.h" #include "system.h" #include #include #include #include 85 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính #include #include #include "lcd.h" #define output(base,data) IOWR(base, 0, data) #define set_duty(data) IOWR(PWM_0_BASE, 0, data) #define set_cycle(data) IOWR(PWM_0_BASE, 1, data) #define pwm_on() IOWR(PWM_0_BASE, 2, 0) #define pwm_off() IOWR(PWM_0_BASE, 2, 1) #define led_off() IOWR(PIO_0_BASE, 0, 0) #define led_on() IOWR(PIO_0_BASE, 0, 1) #define led_blink() IOWR(PIO_0_BASE, 0, 2) #define LEVEL1 0x3 #define LEVEL2 0x5 #define LEVEL3 0x6 #define efan_off() #define efan_on(data) IOWR(EFAN_BASE, 0, 0x7) IOWR(EFAN_BASE, 0, data) #define set_dir(data) IOWR(PIO_2_BASE, 0, data) #define _CYCLE 1000 #define _DUTY 500 int main() { unsigned int task=0; char i =0; set_duty(_DUTY); 86 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính set_cycle(_CYCLE); pwm_on(); set_dir(0); //printf("PWM_0_BASE: %d\n\r",IORD(PWM_0_BASE,0)); //printf("PWM_0_BASE: %d\n\r",IORD(PWM_0_BASE,1)); //printf("PWM_0_BASE: %x\n\r",IORD(PWM_0_BASE,2)); led_off(); pwm_off(); lcd_init(); lcd_menu_init(); delay_ms(1000); lcd_clear( ); lcd_clear( ); lcd_gotoxy(0,1); lcd_puts("Quat: Dang tat"); lcd_gotoxy(0,2); lcd_puts("Den : Dang tat"); while(1){ printf("\nSelect task below: \n"); printf(" + Press : Tat quat\n\r"); printf(" + Press : Quat quay phai cham\n\r"); printf(" + Press : Quat quay phai vua\n\r"); printf(" + Press : Quat quay phai nhanh\n\r"); printf(" + Press : Led sang\n\r"); 87 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính printf(" + Press : Led tat\n\r"); printf(" + Press : Led nhap nhay\n\r"); task = alt_getchar(); //scanf("%d",&task); printf("\ntask = %c \n\r",task); task = task - 0x30; alt_getchar();// get enter key switch(task) { case 0: pwm_off(); set_dir(0); efan_off(); alt_printf("Tat Quat\n\r"); lcd_clear( ); lcd_gotoxy(0,1); lcd_puts("Quat: Dang tat"); break; case 1: set_duty(300); pwm_on(); efan_on(LEVEL1); set_dir(0); lcd_clear( ); 88 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính lcd_gotoxy(0,1); lcd_puts("Quat: So 1"); alt_printf("Quat quay phai cham\n\r"); break; case 2: set_duty(600); efan_on(LEVEL2); pwm_on(); set_dir(0); lcd_clear( ); lcd_gotoxy(0,1); lcd_puts("Quat: So 2"); alt_printf("Quat Phai Vua"); break; case 3: set_duty(1000); pwm_on(); efan_on(LEVEL3); set_dir(0); lcd_clear( ); lcd_gotoxy(0,1); lcd_puts("Quat: So 3"); alt_printf("Quat Phai Nhanh"); break; 89 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính case 4: led_on(); lcd_clear( ); lcd_gotoxy(0,2); lcd_puts("Den : Dang bat"); alt_printf("Led Xanh Sang"); break; case 5: led_off(); lcd_clear( ); lcd_gotoxy(0,2); lcd_puts("Den : Dang tat"); alt_printf("Led Xanh Tat"); break; } } while(1) { output(PIO_0_BASE, 0xff); //led sang delay_ms(1000); // de lay giua cac lan sang tat output(PIO_0_BASE, 0x00); // led tat delay_ms(1000); } return 0; } 90 [...]... các cổng vào ra của Kit DE2, kết nối với máy tính và các thiết bị ngoại vi 12 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Đưa ra được giải pháp và chức năng của sản phẩm, thiết kế mạch và lập trình đạt được yêu cầu đặt ra của hệ thống điều khiển  Sử dụng tốt công cụ lập trình NIOS tạo ứng dụng điều khiển thiết bị qua máy tính  Lắp đặt, chạy thử và hoàn thiện hệ thống ... Available device: EP2C35F672C6( DE2) 25 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Các công cụ thiết kế vi mạch khác sử dụng với phần mềm Quartus II để phát triển dự án  Next Hình 2-11: Công cụ thiết kế, mô phỏng  Kết thúc quá trình khởi tạo dự án: Finish Hình 2-12: Kết thúc 26 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Chọn File  New  VHDL File... “ Triển khai hệ thống nhúng trên nios và điều khiển thiết bị qua máy tính làm đề tài tốt nghiệp Đại học của mình 11 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính Trong quá trình thực hiện đề tài này, do còn hạn chế về kiến thức và thời gian nên không tránh được những thiếu sót Em kính mong quý thầy cô thông cảm và bỏ qua đồng thời em cũng mong nhận được những góp ý và chỉ... thống nhúng trên NIOS và điều khiển thiết bị qua máy tính Hình 2-3: KIT Altera DE2 Các thành phần và thông số của KIT Hình 2-4: Các thành phần trên KIT Altera DE2 19 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Altera Cyclone II 2C35 FPGA  Altera Serial Configuration device - EPCS16  USB Blaster dùng để lập trình hệ thống từ PC, hỗ trợ các chế độ JTAG cũng như điều khiển. .. 2-18: Chọn hệ thống SoPC dựa trên ngôn ngữ Verilog hoặc VHDL  Tạo VXL NIOS cho hệ thống Chọn kiểu NIOS II: tùy vào tính năng của hệ thống mà chọn lõi NIOS II cho phù hợp Trong vi dụ này vì không càn nhiều chức năng nên ta chon phiên bản đơn giản nhất là phiên bản NIOS II/e bằng cách check vào mục NIOS II/e như hình bên dưới 31 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính Hình... Cylone II Tìm hiểu về các cổng vào ra của Kit DE2, kết nối với máy tính và các thiết bị ngoại vi  Đưa ra được giải pháp và chức năng của sản phẩm, thiết kế mạch và lập trình đạt được yêu cầu đặt ra của hệ thống điều khiển  Nghiên cứu và phát triển hệ thống nhúng trên FPGA của hãng Altera  Viết chương trình điều khiển trên máy tính kết nối với FPGA điều khiển thiết bị ngoại vi 1.4 Nội dung thực hiện... 34 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Tạo I/O port cho NIOS II Hình 2-24: Tạo thêm I/O cần thiết cho hệ thống NIOS II 2.4 Phần mềm lập trình NIOS II Việc viết phần mềm cho phần cứng FPGA cũng tương tự như quá trình phát triển phần mềm cho bất kỳ họ vi điều khiển nào khác Bộ công cụ phần mềm Nios II EDS chuyên dùng để viết phần mềm chạy trên bộ vi xử lý NiosII Nios. .. Driver 2.3.3 Xây dựng hệ thống bằng SoPC( Qsys) trên Quartus II  Hệ thống SoPC( System on Programable Chip) bao gồm các thành phần chính:  CPU Nios II  Memory( onchip memory hoặc Ram ngoài)  JTAG 30 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Port I/O  Các component  Ta mở tool SoPC builder( Qsys) trong phần mềm QUATUS II Sau đó đặt tên hệ thống, chọn ngôn ngữ mô... instruction_master và data_master Hình 2-21: Tạo bộ nhớ hệ thống 33 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Tạo UART thông qua chuẩn JTAG cho NIOS Trong Communication double click chọn JTAG UART Nếu không biết chỉnh gì hết thì cứ nhấn Finish để hoàn thành Hình 2-22: JTAG UART  Sau bước này ta có hệ thống đơn giản có thể hoạt động được như hình sau Hình 2-23: Hệ thống SoPC... Phương pháp phân tích mẫu  Phương pháp thực nghiệm 13 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính CHƯƠNG 2: CƠ SỞ LÝ THUYẾT Chương này sẽ trình bày cơ sở lý thuyết của đề tài bao gồm tổng quan về FPGA, giới thiệu phần mềm, công cụ sử dụng trong đề tài, các bước triển khai hệ thống, truyền thông qua máy tính 2.1 Tổng quan về FPGA 2.1.1 FPGA là gì? Field-programmable gate ... nối với máy tính thiết bị ngoại vi 12 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính  Đưa giải pháp chức sản phẩm, thiết kế mạch lập trình đạt yêu cầu đặt hệ thống điều khiển. .. khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính 2.5 Truyền thông qua máy tính Ngày thiết bị đo lường, điều khiển phải giao tiếp với máy tính để quan sát thông số chế độ hoạt động thiết. .. 44 Triển khai hệ thống nhúng NIOS điều khiển thiết bị qua máy tính Các tín hiệu địa chỉ, liệu điều khiển sử dụng cổng riêng biệt, điều làm đơn giản hóa thiết kế thiết bị ngoại vi Một thiết bị

Ngày đăng: 31/10/2015, 08:35

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan