Giao tiếp và lập trình cho LCD HD44780

33 614 1
Giao tiếp và lập trình cho LCD HD44780

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

LCD HD44780 - Giao Tiếp Và Lập Trình Điều Khiển Trietnguyen, SPKT, 30/6/2007 Bài viết in để dùng với mục đích cá nhân phi thương mại, bạn muốn phát hành trang WEB bạn, làm ơn liên lạc với (minhtrietk2003@yahoo.com) phải trích dẫn lại nguồn http://vagam.dieukhien.net * Giới thiệu : Ngày nay, thiết bị hiển thị LCD (Liquid Crystal Display) sử dụng nhiều ứng dụng VĐK LCD có nhiều ưu điểm so với dạng hiển thị khác: Nó có khả hiển thị kí tự đa dạng, trực quan (chữ, số kí tự đồ họa), dễ dàng đưa vào mạch ứng dụng theo nhiều giao thức giao tiếp khác nhau, tốn tài nguyên hệ thống giá thành rẽ … Bài viết chủ yếu dựa vào datasheet HD44780 Hitachi, loại chíp điều khiển LCD thông dụng nước ta Phần đầu phần giới thiệu đặc tính HD44780 có tính chất tham khảo, phần sau KIT ứng dụng cụ thể để bạn tự làm thí nghiệm Phần : Tổng Quát Về LCD HD44780 1> Hình dáng kích thước: Có nhiều loại LCD với nhiều hình dáng kích thước khác nhau, hình hai loại LCD thông dụng Hình : Hình dáng hai loại LCD thông dụng Khi sản xuất LCD, nhà sản xuất tích hợp chíp điều khiển (HD44780) bên lớp vỏ đưa chân giao tiếp cần thiết Các chân đánh số thứ tự đặt tên hình : Hình : Sơ đồ chân LCD Trang 2> Chức chân : Chân số Tên Chức VSS Chân nối đất cho LCD, thiết kế mạch ta nối chân với GND mạch điều khiển VDD Chân cấp nguồn cho LCD, thiết kế mạch ta nối chân với VCC=5V mạch điều khiển Vee Chân dùng để điều chỉnh độ tương phản LCD Chân chọn ghi (Register select) Nối chân RS với logic “0” (GND) logic “1” (VCC) để chọn ghi RS + Logic “0”: Bus DB0-DB7 nối với ghi lệnh IR LCD (ở chế độ “ghi” write) nối với đếm địa LCD (ở chế độ “đọc” - read) + Logic “1”: Bus DB0-DB7 nối với ghi liệu DR bên LCD R/W Chân chọn chế độ đọc/ghi (Read/Write) Nối chân R/W với logic “0” để LCD hoạt động chế độ ghi, nối với logic “1” để LCD chế độ đọc Chân cho phép (Enable) Sau tín hiệu đặt lên bus DB0-DB7, lệnh chấp nhận có xung cho phép chân E E + Ở chế độ ghi: Dữ liệu bus LCD chuyển vào(chấp nhận) ghi bên phát xung (high-to-low transition) tín hiệu chân E + Ở chế độ đọc: Dữ liệu LCD xuất DB0-DB7 phát cạnh lên (lowto-high transition) chân E LCD giữ bus đến chân E xuống mức thấp Tám đường bus liệu dùng để trao đổi thông tin với MPU Có chế độ sử dụng đường bus : 7-14 DB0DB7 + Chế độ bit : Dữ liệu truyền đường, với bit MSB bit DB7 + Chế độ bit : Dữ liệu truyền đường từ DB4 tới DB7, bit MSB DB7 Chi tiết sử dụng giao thức đề cập phần sau Bảng : Chức chân LCD * Ghi : Ở chế độ “đọc”, nghĩa MPU đọc thông tin từ LCD thông qua chân DBx Còn chế độ “ghi”, nghĩa MPU xuất thông tin điều khiển cho LCD thông qua chân DBx Trang 3> Sơ đồ khối HD44780: Để hiểu rõ chức chân hoạt động chúng, ta tìm hiểu sơ qua chíp HD44780 thông qua khối Hình : Sơ đồ khối HD44780 a> Các ghi : Chíp HD44780 có ghi bit quan trọng : Thanh ghi lệnh IR (Instructor Register) ghi liệu DR (Data Register) - Thanh ghi IR : Để điều khiển LCD, người dùng phải “ra lệnh” thông qua tám đường bus DB0-DB7 Mỗi lệnh nhà sản xuất LCD đánh địa rõ ràng Người dùng việc cung cấp địa lệnh cách nạp vào ghi IR Nghĩa là, ta nạp vào ghi IR chuỗi bit, chíp HD44780 tra bảng mã lệnh địa mà IR cung cấp thực lệnh VD : Lệnh “hiển thị hình” có địa lệnh 00001100 (DB7…DB0) Trang Lệnh “hiển thị hình trỏ” có mã lệnh 00001110 - Thanh ghi DR : Thanh ghi DR dùng để chứa liệu bit để ghi vào vùng RAM DDRAM CGRAM (ở chế độ ghi) dùng để chứa liệu từ vùng RAM gởi cho MPU (ở chế độ đọc) Nghĩa là, MPU ghi thông tin vào DR, mạch nội bên chíp tự động ghi thông tin vào DDRAM CGRAM Hoặc thông tin địa ghi vào IR, liệu địa vùng RAM nội HD44780 chuyển DR để truyền cho MPU Æ Bằng cách điều khiển chân RS R/W chuyển qua lại giữ ghi giao tiếp với MPU Bảng sau tóm tắt lại thiết lập hai chân RS R/W theo mục đích giao tiếp RS R/W Khi cần 0 Ghi vào ghi IR để lệnh cho LCD (VD: cần display clear,…) Đọc cờ bận DB7 giá trị đếm địa DB0-DB6 Ghi vào ghi DR 1 Đọc liệu từ DR Bảng : Chức chân RS R/W theo mục đích sử dụng b> Cờ báo bận BF: (Busy Flag) Khi thực hoạt động bên chíp, mạch nội bên cần khoảng thời gian để hoàn tất Khi thực thi hoạt động bên chip thế, LCD bỏ qua giao tiếp với bên bật cờ BF (thông qua chân DB7 có thiết lập RS=0, R/W=1) lên để báo cho MPU biết “bận” Dĩ nhiên, xong việc, đặt cờ BF lại mức c> Bộ đếm địa AC : (Address Counter) Như sơ đồ khối, ghi IR không trực tiếp kết nối với vùng RAM (DDRAM CGRAM) mà thông qua đếm địa AC Bộ đếm lại nối với vùng RAM theo kiểu rẽ nhánh Khi địa lệnh nạp vào ghi IR, thông tin nối trực tiếp cho vùng RAM việc chọn lựa vùng RAM tương tác bao hàm mã lệnh Sau ghi vào (đọc từ) RAM, đếm AC tự động tăng lên (giảm đi) đơn vị nội dung AC xuất cho MPU thông qua DB0-DB6 có thiết lập RS=0 R/W=1 (xem bảng tóm tắt RS - R/W) Lưu ý: Thời gian cập nhật AC không tính vào thời gian thực thi lệnh mà cập nhật sau cờ BF lên mức cao (not busy), lập trình hiển thị, bạn phải delay khoảng tADD khoảng 4uS5uS (ngay sau BF=1) trước nạp liệu Xem thêm hình bên Hình : Giản đồ xung cập nhật AC Trang d> Vùng RAM hiển thị DDRAM : (Display Data RAM) Đây vùng RAM dùng để hiển thị, nghĩa ứng với địa RAM ô kí tự hình bạn ghi vào vùng RAM mã bit, LCD hiển thị vị trí tương ứng hình kí tự có mã bit mà bạn cung cấp Hình sau trình bày rõ mối liên hệ : Hình : Mối liên hệ địa DDRAM vị trí hiển thị LCD Vùng RAM có 80x8 bit nhớ, nghĩa chứa 80 kí tự mã bit Những vùng RAM lại không dùng cho hiển thị dùng vùng RAM đa mục đích Lưu ý để truy cập vào DDRAM, ta phải cung cấp địa cho AC theo mã HEX e> Vùng ROM chứa kí tự CGROM: Character Generator ROM Vùng ROM dùng để chứa mẫu kí tự loại 5x8 5x10 điểm ảnh/kí tự, định địa bit Tuy nhiên, có 208 mẫu kí tự 5x8 32 mẫu kí tự kiểu 5x10 (tổng cộng 240 thay 28 = 256 mẫu kí tự) Người dùng thay đổi vùng ROM Hình : Mối liên hệ địa ROM liệu tạo mẫu kí tự Trang Như vậy, để ghi vào vị trí thứ x hình kí tự y đó, người dùng phải ghi vào vùng DDRAM địa x (xem bảng mối liên hệ DDRAM vị trí hiển thị) chuỗi mã kí tự bit CGROM Chú ý bảng mã kí tự CGROM hình bên có mã ROM A00 Ví dụ : Ghi vào DDRAM địa “01” chuỗi bit “01100010” LCD ô thứ từ trái sang (dòng trên) hiển thị kí tự “b” Bảng : Bảng mã kí tự (ROM code A00) Trang f> Vùng RAM chứa kí tự đồ họa CGRAM : (Character Generator RAM) Như bảng mã kí tự, nhà sản xuất dành vùng có địa byte cao 0000 để người dùng tạo mẫu kí tự đồ họa riêng Tuy nhiên dung lượng vùng hạn chế: Ta tạo kí tự loại 5x8 điểm ảnh, kí tự loại 5x10 điểm ảnh Để ghi vào CGRAM, xem hình bên Hình : Mối liên hệ địa CGRAM, liệu CGRAM, mã kí tự Trang 4> Tập lệnh LCD : Trước tìm hiểu tập lệnh LCD, sau vài ý giao tiếp với LCD : * Tuy sơ đồ khối LCD có nhiều khối khác nhau, lập trình điều khiển LCD ta tác động trực tiếp vào ghi DR IR thông qua chân DBx, ta phải thiết lập chân RS, R/W phù hợp để chuyển qua lại giữ ghi (xem bảng 2) * Với lệnh, LCD cần khoảng thời gian để hoàn tất, thời gian lâu tốc độ MPU, nên ta cần kiểm tra cờ BF đợi (delay) cho LCD thực thi xong lệnh hành lệnh * Địa RAM (AC) tự động tăng (giảm) đơn vị, có lệnh ghi vào RAM (Điều giúp chương trình gọn hơn) * Các lệnh LCD chia thành nhóm sau : • Các lệnh kiểu hiển thị VD : Kiểu hiển thị (1 hàng / hàng), chiều dài liệu (8 bit / bit), … • Chỉ định địa RAM nội • Nhóm lệnh truyền liệu RAM nội • Các lệnh lại (!!!) Bảng : Tập lệnh LCD texe (max) Tên lệnh Hoạt động Clear Display Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 DBx = 0 0 0 Lệnh Clear Display (xóa hiển thị) ghi khoảng trống-blank (mã hiển kí tự 20H) vào tất ô nhớ DDRAM, sau trả đếm địa AC=0, trả lại kiểu thị gốc bị thay đổi Nghĩa : Tắt hiển thị, trỏ dời góc trái (hàng đầu tiên), chế độ tăng AC Return home Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 1.52 DBx = 0 0 0 * Lệnh Return home trả đếm địa AC 0, trả lại kiểu hiển thị gốc bị thay ms đổi Nội dung DDRAM không thay đổi Entry mode set Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 DBx = 0 0 [I/D] [S] I/D : Tăng (I/D=1) giảm (I/D=0) đếm địa hiển thị AC đơn vị có hành động ghi đọc vùng DDRAM Vị trí trỏ di chuyển theo tăng 37 giảm uS S : Khi S=1 toàn nội dung hiển thị bị dịch sang phải (I/D=0) sang trái (I/D=1) có hành động ghi vùng DDRAM Khi S=0: không dịch nội dung hiển thị Nội dung hiển thị không dịch đọc DDRAM đọc/ghi vùng CGRAM Trang Hình : Hoạt động dịch trái dịch phải nội dung hiển thị Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 DBx = 0 0 [D] [C] [B] D: Hiển thị hình D=1 ngược lại Khi tắt hiển thị, nội dung DDRAM không thay đổi C: Hiển thị trỏ C=1 ngược lại Vị trí hình dạng trỏ, xem hình B: Nhấp nháy kí tự vị trí trỏ B=1 ngược lại Xem thêm hình kiểu nhấp nháy Chu kì nhấp nháy khoảng 409,6ms mạch dao động nội LCD 250kHz Display on/off control 37uS Hình 8: Kiểu trỏ, kiểu kí tự nhấp nháy kí tự Cursor or display shift Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 * DBx = 0 [S/C] [R/L] * Lệnh Cursor or display shift dịch chuyển trỏ hay liệu hiển thị sang trái mà không cần hành động ghi/đọc liệu Khi hiển thị kiểu dòng, trỏ nhảy xuống dòng dịch qua vị trí thứ 40 hàng Dữ liệu hàng đầu hàng dịch lúc Chi tiết sử dụng xem bảng bên dưới: 37uS S/C R/L Hoạt động 0 Dịch vị trí trỏ sang trái (Nghĩa giảm AC đơn vị) Dịch vị trí trỏ sang phải (Tăng AC lên đơn vị) Dịch toàn nội dung hiển thị sang trái, trỏ dịch theo 1 Dịch toàn nội dung hiển thị sang phải, trỏ dịch theo Trang Bảng 5: Hoạt động lệnh Cursor or display shift Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 * DBx = 0 [DL] [N] [F] * DL: Khi DL=1, LCD giao tiếp với MPU giao thức bit (từ bit DB7 đến DB0) Ngược lại, giao thức giao tiếp bit (từ bit DB7 đến bit DB0) Khi chọn giao thức bit, liệu truyền/nhận lần liên tiếp với bit cao gởi/nhận trước, bit thấp gởi/nhận sau Function N : Thiết lập số hàng hiển thị Khi N=0 : hiển thị hàng, N=1: hiển thị hàng 37uS set F : Thiết lập kiểu kí tự Khi F=0: kiểu kí tự 5x8 điểm ảnh, F=1: kiểu kí tự 5x10 điểm ảnh * Chú ý: • Chỉ thực thay đổi Function set đầu chương trình Và sau thực thi lần, lệnh thay đổi Function set không LCD chấp nhận ngoại trừ thiết lập chuyển đổi giao thức giao tiếp • Không thể hiển thị kiểu kí tự 5x10 điểm ảnh kiểu hiển thị hàng Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 Set DBx = [ACG][ACG][ACG][ACG][ACG][ACG] CGRAM Lệnh ghi vào AC địa CGRAM Kí hiệu [ACG] bit chuỗi liệu 37uS address bit Ngay sau lệnh lệnh đọc/ghi liệu từ CGRAM địa định Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 DBx = [AD] [AD] [AD] [AD] [AD] [AD] [AD] Lệnh ghi vào AC địa DDRAM, dùng cần thiết lập tọa độ hiển thị Set mong muốn Ngay sau lệnh lệnh đọc/ghi liệu từ DDRAM địa 37uS DDRAM định address Khi chế độ hiển thị hàng: địa từ 00H đến 4FH Khi chế độ hiển thị hàng, địa từ 00h đến 27H cho hàng thứ nhất, từ 40h đến 67h cho hàng thứ Xem chi tiết hình Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 (RS=0, R/W=1) DBx = [BF] [AC] [AC] [AC] [AC] [AC] [AC] [AC] Read BF Như đề cập trước đây, cờ BF bật, LCD làm việc lệnh (nếu and có) bị bỏ qua cờ BF chưa mức thấp Cho nên, lập trình điều khiển, bạn 0uS address phải kiểm tra cờ BF trước ghi liệu vào LCD Khi đọc cờ BF, giá trị AC xuất bit [AC] Nó địa CG hay DDRAM tùy thuộc vào lệnh trước Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 DBx = [Write data] (RS=1, R/W=0) Khi thiết lập RS=1, R/W=0, liệu cần ghi đưa vào chân DBx từ mạch 37uS Write LCD chuyển vào LCD địa xác định từ lệnh ghi địa data to tADD trước (lệnh ghi địa xác định vùng RAM cần ghi) CG or 4uS DDRAM Sau ghi, đếm địa AC tự động tăng/giảm tùy theo thiết lập Entry mode Lưu ý thời gian cập nhật AC không tính vào thời gian thực thi lệnh Chi tiết giao thức Ghi liệu, xin xem hình 10 Read Mã lệnh : DBx = DB7 DB6 DB5 DB4 DB3 DB2 DB1 DB0 data DBx = [Read data] (RS=1, R/W=1) from CG 37uS tADD Trang 10 Tớ giải thích lưu đồ chút: + Đầu tiên đường RS, ta thấy vẽ đường (mức logic 1) đưới (mức logic 0), tức cấp cho chân mức hay mức Mức LCD hiểu liệu chân DBx liệu hiển thị, mức LCD hiểu liệu chân DBx là lệnh + Chân RW phải cấp mức logic + Chân E: Dữ liệu nạp vào LCD thời điểm chân E từ cao xuống thấp (high-to-low) Nhưng liệu cần đặt DBx trước thời điểm khoảng tDSW=80ns giữ tH=10ns (trong tài liệu cố tình viết sai thành low-tohigh transition, mong bạn quan tâm đọc phát ra, "chấp nhận" "ko đọc", nên chẳng có ý kiến, hic hic) Với hai lưu đồ này, theo bạn tốt hơn? (câu hỏi thảo luận ;) Trang 19 Từ hai lưu đồ, ta có đoạn chương trình lệnh cho LCD sau: MOV lcd_port,#00111000B ; DL=1, N=1, F=1,(8bit mode, line, 5x8) CLR RS ;RS = CLR RW ;RW = CLR E SETB E và: MOV lcd_port,#00111000B ; N=1, F=1 CLR RS ;RS = CLR RW ;RW = SETB E CLR E với #00111000B mã lệnh Function Set cho N=1, F=1 Đoạn video clip sau mô tả trình thí nghiệm với LCD Với đoạn code 1, ta thấy thời gian nhận lệnh LCD 0.100007758s, đoạn code 0.100008258s => Đoạn ngắn đoạn : 0.5uS chu kì máy 0.5us (thạch anh 24MHz), thời điểm LCD nhận tín hiệu "CLR E" Attachment(s) LCD-protues.rar (17.8 KB, lần tải) LCD-codehoc1.rar (0.5 KB, lần tải) LCDdebugavi1.rar (716.8 KB, lần tải) Bài 1: (tt) Đọc liệu từ LCD Như đề cập viết, LCD 8051 hoạt động không đồng với 8051 "chạy" nhanh LCD, sau "ra lệnh" cho LCD, phải đợi LCD "làm xong" lệnh lệnh Xuất phát từ đây, ta có cách: + Đợi "mù": tức sau lệnh, 8051 đợi khoảng thời gian cố định Thời gian phải dài thời gian làm việc LCD, nhà sản xuất qui định( từ 37uS đến 1,52ms - xem thêm viết) + Đợi cờ báo bận BF từ LCD: LCD có chân DB7 làm nhiệm vụ, "ra lệnh" đóng vai trò chân liệu, "đọc liệu (*)" đóng vai trò cờ báo bận BF Hoạt động cờ BF xin xem thêm viết (*) : nói "ra lệnh" "đọc liệu" ta ngầm hiểu hành động 8051 (LCD đối tượng điều khiển) Với cách 1, đoạn mã khởi tạo này: MOV ms_num,#100 ; delay 40ms after Vcc rise to 2.7V call delayms MOV lcd_port,#00111000B ;N=1, F=1 CLR RS ;RS = CLR RW ;RW = CLR E SETB E MOV ms_num,#1 ; delay 1ms call delayms MOV lcd_port,#00001111B ; display on, display cursor + blink CLR RS ;RS = CLR RW ;RW = CLR E SETB E jmp $ Lưu ý, gọn, lượt bớt phần hàm delayms thứ linh tinh khác > bạn copy, paste y chang ko chạy nhé!!! Muốn thí nghiệm download code cuối viết Sau đoạn chương trình trên, trỏ LCD chớp, LCD khởi tạo thành công Ưu điểm theo cách đơn giản dễ hiểu, nhiên ko tối ưu cho lắm.(tớ thấy có nhiều bạn chọn cách cho gọn :-D) Với cách 2, ta phải đọc liệu (đọc cờ BF thông qua chân DB7) từ LCD Đoạn chương trình đọc liệu phải tuân theo giao thức nhà sản xuất: Trang 20 Tôi xin giải thích sơ qua giản đồ xung này, nhìn giống giản đồ write cách lý giải ko giống đâu bạn ạ: + Thứ nhất, chân RW phải treo lên mức cao + Hai, chân E tích cực cạnh lên ko phải cạnh xuống! Sau tDDR=160ns có tín hiệu từ mức thấp lên mức cao chân E, liệu LCD xuất qua chân DBx, liệu giữ tới chân E xuống thấp trở lại (kéo dài thêm tDHR=5ns) + Thời gian hai lần có cạnh lên chân E tối thiểu 0.5uS + Thời gian giữ mức cao chân E tối thiểu 230ns Từ giản đồ xung, ta có lưu đồ hàm kiểm tra cờ BF sau: Trang 21 đoạn chương trình ASM sau: check_BF: CLR RS SETB RW SETB BF recheck: CLR E SETB E JB BF,recheck ret ;RS=0 ;RW=1 ;latch for read Kết hợp thứ lại, ta có đoạn chương trình khởi tạo cho LCD theo cách sau: MOV lcd_port,#00111000B ;N=1, F=1 CLR RS ;RS = CLR RW ;RW = CLR E SETB E call check_BF MOV lcd_port,#00001111B ; display on, display cursor + blink CLR RS ;RS = CLR RW ;RW = CLR E SETB E jmp $ Điểm khác biệt chương trình dòng call check_BF ; chương trình MOV ms_num,#1 call delayms ; chương trình Ta xem tối ưu chương trình qua video mô sau: Ở chương trình 2, thời gian lần nhận lệnh 0.100052259s-0.100007758s=44.501us (trong datasheet cho thời gian thực thi lệnh 37us) => Tối ưu nhiều so với 1ms chương trình bạn nhỉ!!! Attachment(s) LCD-chuongtrinh1.rar (0.5 KB, lần tải) Trang 22 LCD-chuongtrinh2.rar (0.6 KB, lần tải) LCDdebugavi2.rar (179.5 KB, lần tải) Bài 1: (tt) Xuất dòng chữ Như bạn "ra lệnh" "đọc liệu" từ LCD Bây thử xuất dòng chữ LCD xem sao? Việc xuất chữ lên LCD thực chất "ra lệnh", khác chổ ta thiết lập chân RS=[1] để DBx nối với vùng ram liệu DDR RS bit P1.0 RW bit P1.1 E bit P1.2 BF bit P2.7 ms_num equ 2fh lcd_port equ P2 lcd_mask equ 0FFh ORG 000h jmp main ORG 030h ; main program begin here main: MOV ms_num,#100 ; delay 40ms after Vcc rise to 2.7V call delayms MOV lcd_port,#00111000B ;N=1, F=1 CLR RS ;RS = CLR RW ;RW = CLR E SETB E call check_BF MOV lcd_port,#00001111B ; display on, display cursor + blink CLR RS ;RS = CLR RW ;RW = CLR E SETB E call check_BF MOV lcd_port,#'V' SETB RS CLR RW CLR E SETB E call check_BF MOV lcd_port,#'A' SETB RS CLR RW CLR E SETB E call check_BF MOV lcd_port,#'G' SETB RS CLR RW CLR E SETB E call check_BF MOV lcd_port,#'A' SETB RS CLR RW CLR E SETB E call check_BF MOV lcd_port,#'M' SETB RS CLR RW CLR E SETB E jmp $ check_BF: CLR RS ;RS=0 SETB RW ;RW=1 SETB BF ; latch for read LR E recheck SETB E JB BF,recheck ret Trang 23 delayms: ; Xtal = 24MHZ, Tm=0.5us PUSH PUSH MOV R7,#51 de_rep: MOV R6,#18 DJNZ R6,$ DJNZ R7,de_rep POP POP DJNZ ms_num,delayms ret END Khi debug proteus, LCD chữ "VAGAM", báo lỗi to tướng: Logic contention(s) detected on net P2.0,P2.1,P2.2 !!! Tạm dịch là: "Có nối tắt Vcc với GND chân logic!" Sở dĩ muốn nói đến thấy nhiều bạn viết mà ko ý làm giảm tuổi thọ LCD 8051 mà ko rõ nguyên nhân (cứ đổ thừa "LCD hàng china") Sai chổ: + Sau câu lệnh "call check_BF" lệnh "MOV lcd_port,#data": LCD xuất liệu sau lệnh check_BF ta lại cấp liệu vào DBx => xung khắc + Trong hàm check_BF, ta chốt chân BF để đọc, chân lại không kiểm soát => Nguy xung đột LCD xuất liệu DBx Từ nhược điểm trên, cải tiến lại chương trình: + Chuyển câu lệnh "MOV lcd_port,#data" sau hai câu lệnh "SETB RS" "CLR RW" để LCD trạng thái "đọc lệnh từ MPU" treo DBx lên tổng trở cao + Đổi "SETB BF" thành "MOV lcd_port,#0FFh" Như vậy, chương trình thành (download code bên dưới) Chúng ta kết thúc đây! (Phù, khỏe ) Attachment(s) LCD-codehoc4.rar (0.6 KB, lần tải) Trang 24 Bài 2: Tối ưu code Từ chương trình cuối, cải tiến chút code ngắn gọn lại: dta: call check_BF SETB RS ;RS = 1, DR selected CLR RW ;RW = 0, write to LCD exec: MOV lcd_port,A SETB E CLR E ret Bây hành động ghi liệu đơn giản lời gọi hàm dta: MOV A,#dữ_liệu Call dta Với hành động “xuất lệnh”, ta biến đổi hàm dta tí thành hàm cmd: cmd: call check_BF CLR RS ;RS = 0, IR selected CLR RW ;RW = 0, write to LCD exec: MOV lcd_port,A SETB E CLR E ret Lúc này, hành động lệnh cho LCD sau: MOV A,#mã_lệnh Call cmd Do giống phần exec, ta viết lại: cmd: call check_BF CLR RS ;RS = 0, IR selected CLR RW ;RW = 0, write to LCD jmp exec dta: call check_BF SETB RS ;RS = 1, DR selected CLR RW ;RW = 0, write to LCD exec: MOV lcd_port,A SETB E CLR E Ret Cuối cùng, sau cải tiến lần 1, ta có đoạn chương trình chữ VAGAM sau (*): MOV A,#mode8bit call cmd MOV A,#cursor_on call cmd MOV A,#'V' call dta MOV A,#'A' call dta MOV A,#'G' call dta MOV A,#'A' call dta MOV A,#'M' call dta jmp $ cmd: call check_BF CLR RS ;RS = 0, IR selected Trang 25 CLR RW ;RW = 0, write to LCD jmp exec dta: call check_BF SETB RS ;RS = 1, DR selected CLR RW ;RW = 0, write to LCD exec: MOV lcd_port,A SETB E CLR E return: ret * Các chi tiết phụ bỏ bớt, chương trình đầy đủ tải codetoiuu1.asm Việc xuất dòng chữ VAGAM xem có tính lặp lại Ta tối ưu lần cách sử dụng trỏ DPTR kiểu định địa gián tiếp để tạo vòng lặp putcmd: MOV A,#00h MOVC A,@A+dptr CJNE A,#endcmd,next_pc jmp return next_pc: CALL cmd inc dptr jmp putcmd Ta xuất chuỗi lệnh cách nạp địa liệu: MOV dptr,#initcmd ; Lần lượt lệnh dãy initcmd call putcmd Với nhãn initcmd nằm cuối chương trình: initcmd: DB mode8bit,cursor_on,clr_disp,endcmd Tương tự vậy, ta làm hàm xuất chuỗi liệu: putstr: MOV A,#00h MOVC A,@A+dptr CJNE A,#endstr,next_ps jmp return next_ps: CALL dta PUSH ms_num call delayms POP ms_num inc dptr jmp putstr Và việc xuất chuỗi liệu đơn giản lệnh nạp nhãn liệu cho dptr lời gọi hàm putstr: MOV ms_num,#200 ; Mỗi kí tự xuất delay 200ms MOV dptr,#messa1 ; Lần lượt xuất kí tự dãy messa1 call putstr Với nhãn messa1 nằm cuối chương trình messa1: DB 'VAGAM',endstr Kết hợp lại ta có đoạn chương trình sau: … MOV dptr,#initcmd ; initial call putcmd MOV ms_num,#200 MOV dptr,#messa1 ; call putstr jmp $ putstr: MOV A,#00h MOVC A,@A+dptr CJNE A,#endstr,next_ps jmp return next_ps: CALL dta Trang 26 PUSH ms_num call delayms POP ms_num inc dptr jmp putstr putcmd: MOV A,#00h MOVC A,@A+dptr CJNE A,#endcmd,next_pc jmp return next_pc: CALL cmd inc dptr jmp putcmd cmd: call check_BF CLR RS ;RS = 0, IR selected CLR RW ;RW = 0, write to LCD jmp exec dta: call check_BF SETB RS ;RS = 1, DR selected CLR RW ;RW = 0, write to LCD exec: MOV lcd_port,A SETB E CLR E Ret … initcmd: DB mode8bit,cursor_on,clr_disp,endcmd messa1: DB 'VAGAM',endstr END * Các chi tiết phụ bỏ bớt, chương trình đầy đủ tải codetoiuu2.asm Trang 27 Việc tối ưu code ngắn tối ưu nữa, thêm hàm tạo hiệu ứng động cho kí tự Tuy nhiên tính chất tutorial giới thiệu, kết thúc tối ưu code Bài 3: Lập trình hiển thị kí tự đồ họa Ở trước biết cách xuất kí tự lên địa hiển thị LCD Ví dụ để hiển thị chữ ‘b’ lên LCD địa thời ta lệnh xuất liệu (hàm dta) với mã lệnh “01100010” (đây vị trí chữ 'b' bảng mã kí tự LCD trang viết) Và trùng với bảng mã ASCII, nên thay viết #01100010B ta viết #'b' ASM Bây ta xuất mã liệu “#00000000B” LCD hiển thị gì? Câu trả lời nằm vùng RAM đồ họa CGRAM (xin xem thêm CGRAM trang tài liệu) Từ bảng bên lệnh Write data to CG or DDRAM, ta có lưu đồ ghi kí tự đồ họa "Đ" vào CGRAM địa #00000000B Trang 28 Trang 29 Từ lưu đồ, ta cải tiến hàm putstr có để hàm put_cg: put_cg: MOV R0,#40h putcg: MOV A,R0 CALL cmd INC R0 MOV A,#00h MOVC A,@A+dptr CJNE A,#endcmd,next_cg JMP return next_cg: CALL dta INC dptr JMP putcg Và sau chương trình chữ “Cơ Điện Tử” hình LCD Chúng ta kết thúc đây, kết thúc phần lập trình LCD theo giao thức bit ASM Nếu nắm vững kiến thức này, bạn lập trình cho LCD ngôn ngữ (C chẳng hạn) vi điều khiển nốt Các lập trình LCD theo chế độ bit, chế độ ưa chuộng thực tế Attachment(s) LCDCGRAM-code.rar (1.2 KB, 11 lần tải) LCDCGRAM-code.rar (1.2 KB, 11 lần tải) Bài 4: Giao tiếp LCD HD44780 theo chế độ bit Ở học sử dụng LCD theo chế độ bit, tức dùng chân DB4-DB7 để truyền liệu Về giao thức giao tiếp, chế độ bit không đề cập chi tiết viết giống giao thức bit, khác điểm ta gởi/nhận lần bit, với bit cao gởi/nhận trước Hình bên minh họa cho giao thức gởi lệnh đến LCD theo chế độ bit Giữa hai nibble (4-bit) ta cần thêm xung enable Trang 30 Từ có lưu đồ xuất lệnh liệu theo giao thức bit sau: Với chút kinh nghiệm lập trình ASM, ta viết chương trình cho lưu đồ với chút cải tiến (bằng cách dùng chương trình con) Một chương trình mẫu cho bên dưới: dta: call check_BF ; Kiểm tra cờ BF CLR RW ; Thiết lập chế độ nhận liệu SETB RS ; // jmp exec cmd: call check_BF Trang 31 CLR RW ; Thiết lập chế độ nhận lệnh CLR RS ; // exec: PUSH ACC call nibble ; bit cao gởi trước POP ACC SWAP A ; Đổi bit thấp lên vị trí bit cao call nibble ; bit thấp gởi sau return: ret nibble: ; chương trình gởi bit ORL lcd_port,#lcd_mask ; Che chân không dùng ORL A,#data_mask ; lcd_mask=0F0h, data_mask=0Fh ANL lcd_port,A ; chân port không dùng không đổi SETB E ; Xung enable CLR E ret Việc đọc cờ bận BF tương tự chế độ bit, bit cao đọc trước, bit thấp đọc sau (bỏ qua bit sau) Cờ BF nằm bit (MSB) bit cao Đoạn chương trình mẫu: check_BF: CLR SETB ORL jmp recheckBF: clr setb next_BF: RS ; Thiết lập chế độ xuất liệu RW ; lcd_port,#lcd_mask ; Chốt để đọc vào next_BF E E ; Xung enable Trang 32 clr E setb E JB BF,recheckBF clr E setb E ret Đó tất chế độ bit (chỉ :D) Nhưng xin lưu ý bạn điều khởi tạo LCD: (trích lại viết trang 15) “Như đề cập trên, chế độ giao tiếp mặc định LCD 8bit (tự khởi tạo lúc bật điện lên) Và kết nối mạch theo giao thức 4bit, bit thấp từ DB0-DB3 không kết nối đến LCD, nên lệnh khởi tạo ban đầu (lệnh chọn giao thức giao tiếp – function set 0010****) phải giao chế độ bit (chỉ gởi bit cao lần, bỏ qua bit thấp) Từ lệnh sau trở đi, phải gởi/nhận lệnh theo nibble.” Quá trình tiến hóa code nói tới trước, nêu đoạn code cuối cùng, đoạn code chữ “Cơ Điên Tử” LCD (có thể down load diễn đàn VAGAM) Trang 33 [...]... CALL dta INC dptr JMP putcg Và sau đây là chương trình hiện chữ “Cơ Điện Tử” trên màn hình LCD Chúng ta kết thúc bài 3 ở đây, cũng kết thúc luôn phần lập trình LCD theo giao thức 8 bit bằng ASM Nếu nắm vững kiến thức này, các bạn có thể lập trình cho LCD bằng ngôn ngữ gì cũng được (C chẳng hạn) và bằng vi điều khiển gì cũng được nốt Các bài tiếp theo chúng ta sẽ lập trình LCD theo chế độ 4 bit, đây... kết nối giữa mô đun LCD và VĐK 89S52 (8 bit) - Sơ đồ mạch kết nối giữa môđun LCD và VĐK (4 bit) c> Bus Timing: Trang 11 Trang 12 6> Khởi tạo LCD: Khởi tạo là việc thiết lập các thông số làm việc ban đầu Đối với LCD, khởi tạo giúp ta thiết lập các giao thức làm việc giữa LCD và MPU Việc khởi tạo chỉ được thực hiện 1 lần duy nhất ở đầu chương trình điều khiển LCD và bao gồm các thiết lập sau : • Display... khiển cũng như lập trình cho vi điều khiển OK, here we go Bài 1: (tt) Ra 1 lệnh cho LCD Để khởi tạo, bạn phải "ra lệnh" cho LCD Để LCD "hiểu" được "lệnh của bạn", bạn phải tuân theo "qui ước nói chuyện" của nó (giao thức), cả "âm lượng" (phần cứng) và "ngôn ngữ" (phần mềm) - Nhập gia tùy tục mà, bạn nhỉ!? a Giao thức phần cứng: (xem chi tiết trong bài viết hoặc datasheet) + LCD giao tiếp theo chuẩn... tutorial Phần này là quá trình triển khai ứng dụng với LCD, chủ yếu là copy bài viết của tôi ở diễn đàn VAGAM Bài 1: Khởi tạo LCD ở chế độ 8 bit Khởi tạo LCD là hành động thiết lập các thông số làm việc ban đầu cho nó Khởi tạo được thực hiện một lần vào đầu chương trình và bao gồm: + Thiết lập chế độ giao tiếp (function set): Bài này sẽ giúp bạn khởi tạo LCD ở chế độ 8 bit + Thiết lập chế độ nhập (Entry... thời điểm LCD nhận được tín hiệu "CLR E" Attachment(s) LCD- protues.rar (17.8 KB, 1 lần tải) LCD- codehoc1.rar (0.5 KB, 1 lần tải) LCDdebugavi1.rar (716.8 KB, 1 lần tải) Bài 1: (tt) Đọc dữ liệu từ LCD Như đã đề cập trong bài viết, LCD và 8051 hoạt động không đồng bộ với nhau 8051 "chạy" nhanh hơn LCD, do đó sau khi "ra một lệnh" cho LCD, nó phải đợi LCD "làm xong" lệnh đó mới được ra lệnh tiếp theo Xuất... xin lưu ý các bạn một điều về khởi tạo LCD: (trích lại trong bài viết trang 15) “Như đã đề cập ở trên, chế độ giao tiếp mặc định của LCD là 8bit (tự khởi tạo lúc mới bật điện lên) Và khi kết nối mạch theo giao thức 4bit, 4 bit thấp từ DB0-DB3 không được kết nối đến LCD, nên lệnh khởi tạo ban đầu (lệnh chọn giao thức giao tiếp – function set 0010****) phải giao tiếp theo chế độ 8 bit (chỉ gởi 4 bit cao... chuộng trong thực tế Attachment(s) LCDCGRAM-code.rar (1.2 KB, 11 lần tải) LCDCGRAM-code.rar (1.2 KB, 11 lần tải) Bài 4: Giao tiếp LCD HD44780 theo chế độ 4 bit Ở bài học này chúng ta sẽ sử dụng LCD theo chế độ 4 bit, tức là chỉ dùng 4 chân DB4-DB7 để truyền dữ liệu Về giao thức giao tiếp, chế độ 4 bit không được đề cập chi tiết trong bài viết vì nó cơ bản giống như giao thức 8 bit, chỉ khác ở một điểm... Hình bên dưới minh họa cho giao thức gởi một lệnh đến LCD theo chế độ 4 bit Giữa hai nibble (4-bit) ta cần thêm một xung enable Trang 30 Từ đây là có lưu đồ xuất một lệnh hoặc dữ liệu theo giao thức 4 bit như sau: Với một chút kinh nghiệm lập trình ASM, ta có thể viết chương trình cho lưu đồ trên với một chút cải tiến (bằng cách dùng chương trình con) Một chương trình mẫu được cho bên dưới: dta: call... Kết nối phần cứng cho LCD b Giao thức phần mềm: - Để LCD hiểu được lệnh, chúng ta cần theo đúng giao thức của nhà sản xuất Hình bên dưới là giao thức ghi một lệnh vào LCD: Trang 18 Tớ sẽ giải thích lưu đồ này một chút: + Đầu tiên là đường RS, ta thấy nó vẽ 2 đường trên (mức logic 1) và đưới (mức logic 0), tức là chúng ta có thể cấp cho chân này mức 0 hay mức 1 đều được Mức 0 thì LCD hiểu dữ liệu ở... đoạn chương trình ra một lệnh cho LCD như sau: MOV lcd_ port,#00111000B ; DL=1, N=1, F=1,(8bit mode, 2 line, 5x8) CLR RS ;RS = 0 CLR RW ;RW = 0 CLR E SETB E và: MOV lcd_ port,#00111000B ; N=1, F=1 CLR RS ;RS = 0 CLR RW ;RW = 0 SETB E CLR E với #00111000B là mã lệnh Function Set cho N=1, F=1 Đoạn video clip sau mô tả quá trình tôi thí nghiệm với LCD Với đoạn code 1, ta thấy thời gian nhận lệnh ở LCD là 0.100007758s, ... CGRAM, mã kí tự Trang 4> Tập lệnh LCD : Trước tìm hiểu tập lệnh LCD, sau vài ý giao tiếp với LCD : * Tuy sơ đồ khối LCD có nhiều khối khác nhau, lập trình điều khiển LCD ta tác động trực tiếp vào... tiếp (4bit/8bit) Trang 15 Phần : KIT THÍ NGHIỆM LCD HD44780 Giới thiệu: Trong phần trước, bạn tìm hiểu đặc tính LCD, thi công KIT thí nghiệm LCD HD44780 để vận dụng kiến thức vào thực tế 1> Sơ... cho LCD diễn đàn VAGAM 3> Lời kết: Cheer!!! Trang 17 Phần 3: LCD tutorial Phần trình triển khai ứng dụng với LCD, chủ yếu copy viết diễn đàn VAGAM Bài 1: Khởi tạo LCD chế độ bit Khởi tạo LCD

Ngày đăng: 30/10/2015, 16:57

Từ khóa liên quan

Mục lục

  • Thanh Dâng's Bookmark

    • Bảng Font chữ

    • 2. Chức năng các chân

    • 3. Sơ đồ khối

Tài liệu cùng người dùng

Tài liệu liên quan