thiết kế bộ lọc tín hiệu số trên công nghệ fpga với công cụ matlab và eda của xilinx

132 654 1
thiết kế bộ lọc tín hiệu số trên công nghệ fpga với công cụ matlab và eda của xilinx

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Bộ giáo dục v đo tạo Bộ quốc phòng Học viện kỹ thuật quân sự Trần thanh sơn Thiết kế bộ lọc tín hiệu số trên công nghệ fpga với công cụ matlab v eda của xilinx Luận văn thạc sĩ kỹ thuật Hà Nội - Năm 2008 Học viện kỹ thuật quân sự Trần thanh sơn Thiết kế bộ lọc tín hiệu số trên công nghệ fpga với công cụ matlab v eda của xilinx Chuyên ngành: Tự Động Hoá Mã số: 60 52 60 Luận văn thạc sĩ kỹ thuật Ngời hớng dẫn khoa học: Tiến sĩ đỗ đình nghĩa Hà Nội Năm 2008 Bộ giáo dục v đo tạo Bộ quốc phòng bộ giáo dục v đo tạo bộ quốc phòng học viện kỹ thuật quân sự luận văn thạc sĩ kỹ thuật Tên đề tài: Thiết kế bộ lọc tín hiệu số trên công nghệ fpga với công cụ matlab v eda của xilinx Chuyên ngành : Tự Động Hoá Mã số: 60 52 06 Ngày giao đề tài luận văn : 29-01-2008. Ngày hoàn thành luận văn : 15-05-2008. Ngời thực hiện: Họ và tên: Trần Thanh Sơn Lớp: Tự động hóa Khoá: 18 Hệ đào tạo: Tập trung Cán bộ hớng dẫn: Họ và tên: Đỗ Đình Nghĩa Cấp bậc: Đại tá Học hàm, học vị: Tiến sĩ Đơn vị công tác: Học viện KTQS Hà Nội - Năm 2008 MỤC LỤC Trang MỞ ĐẦU ………………………………………………………………… 1 Chương 1: TỔNG QUAN VỀ TÍN HIỆU VÀ CHỌN LỌC TÍN HIỆU … 4 1.1 Tín hiệu tương tự (Analog) và tín hiệu số (Digital) ………………… 4 1.1.1.Khái niệm, phân loại tín hiệu và hệ xử lý tín hiệu ………….… 4 1.1.2 Hệ xử lý số …………………………………………… 12 1.2 Các bộ biến đổi tín hiệu tín hiệu tương tự-số (ADC) và bộ biến đổi số-tương tự (DAC)…………………………………… 19 1.2.1 Bộ biến đổi DAC :.………………………………… … …………… . 19 1.2.2 Bộ biế n đổi ADC : ………………………………… ……………. . 22 1.3 Bộ lọc số và cơ sở toán học của nó…………………………………… 24 1.3.1: Tổng quan về bộ lọc số: … ………………… ………………. 25 1.3.2: Công cụ toán học để thiết kế bộ lọc số………… ……………… 28 Chương 2 : TỔNG QUAN VỀ CẤU TRÚC FPGA CỦA HÃNG XILINX VÀ PHẦN MỀM HỖ TRỢ THIẾT KẾ WEBPACK ISE… 32 2.1 Tổng quan về cấu trỳc FPGA của XILINX…………………………. 32 2.1.1. Sự hình thành và phát triển của FPGA và CPLD.…………… 32 2.1.2. Giới thiệu các họ thiế t bị của Xilinx……………………… …………. 38 2.1.3. Cấu trúc FPGA của hãng Xilinx …………………………………… 44 2.2 Phần mềm thiết kế WEBPACK ISE…………………………… 52 2.2.1. Giới thiệu sơ lược:………………………… ………… ……… … 52 2.2.2. Cụng cụ thiết kế:………………………………… …… ……………. 52 2.2.3. Lõi sở hữu trí tuệ của Xilinx ( IP_Core ):………………………… 55 2.2.4. Giới thiệu ngôn ngữ VHDL……………………………… ……… 55 Chương 3 : HỖ TRỢ THIẾT KẾ CỦA MATLAB VÀ EDA VỚI BỘ LỌC SỐ……………………………………………… 63 3.1 Phương pháp thiết kế theo mô hình: ………………………………… 63 3.1.1.Giới thiệu:… ……………………………………………………… 63 3.1.2.Kết luận….………………………………………………………… 68 3.2 Sự hỗ trợ của Matlab với thiết kế bộ lọc số (FDATool)……… …… 68 3.2.1. Tổng quan về hộp công cụ thiết kế bộ lọc số (FDATool)… …… 68 3.2.2. Thiết kế bộ lọc theo phương pháp sử dụng các hàm chức năng: 73 3.2.3. Thiết kế bộ lọc theo phương pháp sử dụng giao diện của FDATool :. 77 3.2.4. Phân tích một số cấu trúc của các hàm thông d ụng trong thiết kế bộ lọc: ………… …………………… …………… 83 3.3 Sự hỗ trợ thiết kế của EDA (phần mềm ISE): ………… ……… … . 85 Chương 4 : THIẾT KẾ BỘ LỌC TÍN HIỆU SỐ DẠNG FIR .………… 87 4.1 Kết cấu cho các kiểu lọc tần số dạng FIR:…………………………… 87 4.1.1. Bộ lọc thông thấp lý tưởng…………………… ……………… 88 4.1.2. Bộ lọc thông cao lý tưởng……… …………………………… 90 4.1.3. Bộ lọc dải thông lý tưởng…… ……………………… ……… 91 4.1.4. Bộ lọc dải chặn lý tưởng……………… ……………… ……… 93 4.1.5 .Nh ận xét……… ……………………………………… ………. 95 4.2 Cấu hình tổng quát của bộ lọc FIR………………………………… 92 4.3 Tổng hợp hệ thống theo phương pháp mô hình hoá đối tượng……. 95 4.3.1. Giả thiết kỹ thuật………………………………………… …… 95 4.3.2. Thiết kế bộ lọc số đáp ứng xung hữu hạn theo phương pháp MBD 95 4.3.3.Hiện thực hoá và mã để hiện thực hoá ……………………………. 105 4.3.4. Thử nghiệm và kiểm tra ……… … 112 ĐÁNH GIÁ VÀ KẾT LUẬN… ………………………………………… ….114 1. Khả năng thực hiện và hướ ng phát triển của đề tài……………… ….…….114 2. Khả năng áp dụng vào thực tiễn …………………………………….…… 114 TÀI LI ỆU THAM KH ẢO………………………………………………… . …116 PHỤ LỤC LỜI CAM ĐOAN Tôi xin cam đoan luận văn này là công trình nghiên cứu của riêng tôi. Các số liệu, kết quả nêu trong luận văn là trung thực và chưa từng được ai công bố trong bất kỳ công trình nghiên cứu nào khác./. Tác giả luận văn Trần Thanh Sơn Bảng các chữ viết tắt v ký hiệu Từ viết tắt N g hĩa tiến g Anh N g hĩa tiến g Việt ABEL Advanced Boolean Ex p ression Language N g ôn n g ữ diễn tả đại số Bool cao cấp. AD C Analo g -to-Di g ital Converter Bộ chu y ển đổi tơn g tự số. AIM Advanced Interconnect Matrix Ma trận liên kết cao cấ p . ANSI American National Standards Institute. Viện tiêu chuẩn q uốc g ia Hoa kỳ. ASI C A pp lication S p ecific I C IC ứn g dụn g chu y ên biệt. ASS P A pp lication S p ecific Standard Product Sản p hẩm tiêu chuẩn ứn g dụng chuyên biệt. ATE Automatic Test E q ui p ment Thiết bị kiểm tra tự độn g . CAD Com p uter Aided Desi g nCôn g cụ thiết kế đợc trợ g iú p bởi máy tính. CAN Controller Area Networ k Bộ điều khiển mạn g khu vực. CB T Com p uter Based Trainin g Huấn lu y ện dựa trên má y tính. CDMA Code Division Multi p le Access Sự p hân chia mã đa tru y cậ p . CE Clock Enable Tín hiệu cho p hé p Clock. CL B Confi g urable Lo g ic Bloc k Khối Lo g ic cho p hé p định cấu hình. CLK Clock Si g nal Tín hiệu đồn g hồ . CIC C Custom Inte g rated Circuits Conference Hội thảo về mạch tích hợ p tu ỳ biến. CMO S Com p lementar y MO S Côn g n g hệ MOS cải tiến. CPLD Com p lex Pro g rammabe Lo g ic Device Thiết bị lo g ic cho p hé p lậ p trình phức tạp. CS P Chi p Scale Packa g in g Đón g g ói theo kích thớc mỏng. DCI Di g itall y Controlled Im p edance Trở khán g điều khiển đợc số. DRAM D y namic Random-Access Memor y Bộ nhớ độn g tru y xuất n g ẫu nhiên, còn gọi là RAM động DCM Di g ital Clock Mana g er Bộ q uản l ý đồn g hồ số. DCM Di g ital Control Mana g erment Giám sát điều khiển số. DE S Data Encr yp tion Standard Chuẩn mã hoá dữ liệu. DR C Desi g n Rule Checker Bộ kiểm tra q u y tắc thiết kế DSL Di g ital Subsciber Line Đờn g thuê bao số. DS P Di g ital Si g nal Processor Bộ xử l ý tín hiệu số. DTV Di g ital Television Tru y ền hình số. EC S Schematic Editor Bộ soạn thảo sơ đồ n g u y ên l ý . EDA Electronic Desi g n Automation Hệ tự độn g thiết kế điện tử EDIF Electronic Di g ital Interchan g e Format. Định dạn g trao đổi điện tử số. EEPROM Electricall y Erasable Pro g rammable Read-Only-Memory. Bộ nhớ chỉ đọc lậ p trình và xoá đợc bằng điện EMI Electroma g netic Interference. Nhiễu điện từ trờn g . eS P emer g in g Standards and Protocols Sự nả y sinh các tiêu chuẩn và giao thức. EPROM Erasable Pro g rammable Read-Onl y - Memory Bộ nhớ chỉ đọc lậ p trình và xoá đợc. FPGA Field-Pro g rammable Gate Arra y Ma trận cổn g lậ p trình đợc theo hàng. FA T File Allocation Table Bản g cấ p p hát File. FIFO First In First Out Vào trớc ra trớc. FIR Finite Im p ulse Res p one (Filter) Đá p ứn g xun g hữu hạn. FI T Failures in Time Lỗi thời g ian. FSM Finite State Machine Má y trạn g thái hữu hạn. fMAX Fre q uenc y Maximum Tần số cực đại GP S Global Positionin g S y stem Hệ thốn g định vị toàn cầu. GTL Gunnin g Transceiver Lo g ic Bộ dò tìm lo g ic thu p hát GTL P Gunnin g Tranceiver Lo g ic Plus Bộ dò tìm lo g ic thu p hát cải tiến. GUI Gra p hical User Interface Giao tiế p đồ hoạ n g ời dùn g . HSTL Hi g h S p eed Tranceiver Lo g ic Lo g ic thu p hát tốc độ cao. HDL Hardware Descri p tion Lan g ua g eN g ôn n g ữ mô tả p hần cứn g I/O In p ut and Out p ut Vào ra IBI S I/O Buffer Information Specification Thôn g tin chi tiết bộ đệm vào ra. IEEE Institute of Electrical and Electronic Engineers Hiệ p hội các K ỹ s Điện và Điện tử ILA Inter g rated Lo g ic Anal y zer Bộ p hân tích lo g ic đợc tích hợp. IO B In p ut Out p ut Bloc k Khối vào ra IP Intellectual Pro p ert y Sở hữu trí tuệ. IRL TM Internet Reconfi g urable Lo g ic Đơn vị lo g ic cho p hé p định cấu hình lại trên mạng Internet. ISE Inter g rated Software Enviroment Môi trờn g p hần mềm đợc tích hợp. IS P In S y stem Pro g rammin g Lậ p trình tron g hệ thốn g . JEDE C Joint Electron Device En g innerin g Council Hiệ p hội khoa học thiết bị điện tử ghép nối. JTAG Joint Test Advisor y Grou p Nhóm t vấn kiểm tra g hé p nối. LAN Local Area Networ k Mạn g cục bộ. LE C Lo g ic E q uivalence Checker Bộ kiểm tra lo g ic tơn g đơn g LMG Lo g ic Modelin g Grou p Nhóm mẫu Lo g ic. LU T Look U p Table Bản g tra ha y bộ tạo hàm lo g ic LVCMO S Low Volta g e Com p lementar y Metal Oxide Semiconductor Lớ p bán dẫn oxit kim loại bổ xung điện áp thấp. LVD S Low Volta g e Differential Si g nalin g Tín hiệu vi sai điện á p thấ p LVDSEX T Low Volta g e Differential si g nalin g Extension Tín hiệu vi sai điện á p thấ p mở rộng. LVPECL Low Volta g e Positive Emitter Coupled Logic Lo g ic p hối g hé p Emiter dơn g điện áp thấp. LVTTL Low Volta g e Transitor To Transitor Logic Lo g ic Transitor điện á p thấ p . MA C Multi p l y and Accumulate Bộ nhân và tích lu ỹ MAN Metro p olitan Area Networ k Mạn g nội thị MUX Multi p lexer Bộ chọn kênh MO S Metal-Oxide-Silicon Kim loại-Oxit-Silic NG C Native Generic Com p iler Bộ biên dịch các tính chất chung tự nhiên OE Out p ut Enable Cho p hé p đầu ra OT P One Time Pro g rammable Cho p hé p lậ p trình một lần PACE Pinout and Area Constraints Editor Bộ soạn thảo g án vùn g các chân ra. PAL Pro g rammable Arra y Lo g ic Lo g ic mản g lậ p trình đợc PCB Printed Circuit Board Bản g mạch in. PCI Peri p heral Com p onent Interconnect Liên kết p hần tử n g oại vi. PCMCIA Personal Com p uter Memor y Card International Association Hiệ p hội q uốc g ia về thẻ nhớ máy tính các nhân. PLA Pro g rammable Lo g ic Arra y Mản g lo g ic lậ p trình đợc PLD Pro g rammable Lo g ic Device Thiết bị lo g ic lậ p trình đợc PPGA Plastic Pin-Grid Arra y Kiểu đón g g ói hình chữ nhật, hai hàng chân, bằng chất dẻo tổng hợp PROM Pro g rammable Read-Onl y -Memor y Bộ nhớ chỉ đọc lậ p trình đợc RAM Random-Access Memor y Bộ nhớ tru y xuất n g ẫu nhiên ROM Read Onl y Memor y Bộ nhớ chỉ đọc [...]...SRAM Static Random-Access Memory Bộ nhớ tĩnh truy xuất ngẫu nhiên - còn gọi là RAM tĩnh SRL16 Shift Register LUT Bộ dịch LUT là phơng pháp thay thế cho mỗi bộ tạo chức năng, mà mỗi bộ này là một bộ phận của CLB Phơng pháp này cho phép tăng số Flip-Flop lên 16 Stub Series Terminated Transceiver Điểm kết thúc đầu cuối của Logic bộ thu phát logic Time of Propagation Delay Thời gian... bằng bộ dao SSTL Tpd động VFM Variable Function Multiplexer Bộ chọn kênh cho phép biến đổi VREF Voltage Reference Điện áp tham chiếu VSS Visual Software Solution Giải pháp phần mềm ảo VHDL VHSIC Hardware Description Ngôn ngữ mô tả phần cứng Language của mạch tích hợp tốc độ rất cao VHSIC Very High Speed Integrated Circuits Mạch tích hợp tốc độ rất cao WPU Weak Pull Up Bộ treo tín hiệu ở mức yếu XCITE Xilinx. .. Integrated Circuits Mạch tích hợp tốc độ rất cao WPU Weak Pull Up Bộ treo tín hiệu ở mức yếu XCITE Xilinx Controlled Impedance Kỹ thuật điều khiển trở kháng Technology của Xilinx XST Xilinx Synthesis Technology Kỹ thuật tổng hợp của Xilinx ZIA Zero Power Interconnect Array Mảng các đờng kết nối nguồn không 4 CHNG 1 TNG QUAN V TN HIU V CHN LC TN HIU Chng mt trỡnh by cỏc khỏi nim c bn v tớn hiu v h x lý... Tớn hiu s l dóy s thc - Tớn hiu s l dóy chn, v dóy l - Tớn hiu s l dóy i xng, v dóy phn i xng Ngoi ra, theo giỏ tr nng lng v cụng sut ca tớn hiu s, ngi ta cũn phõn bit hai loi tớn hiu s sau: - Tớn hiu s nng lng l tớn hiu s cú nng lng hu hn - Tớn hiu s cụng sut l tớn hiu s cú cụng sut hu hn 2 Cỏc tham s c bn ca tớn hiu s * di ca tớn hiu s l khong thi gian tn ti ca tớn hiu tớnh bng s mu * Giỏ tr trung... c cỏc mu ca tớn hiu Nng lng Ex ca tớn hiu s x(n) c tớnh nh sau: i vi tớn hiu s x(n) mt phớa hu hn cú di N: Ex = N 1 x ( n) 2 (1.2) n =0 * Cụng sut trung bỡnh ca tớn hiu s bng giỏ tr trung bỡnh ca nng lng tớn hiu trờn mt mu (bng trung bỡnh bỡnh phng ca tớn hiu) Cụng sut trung bỡnh Px ca tớn hiu s x(n) c tớnh nh sau: i vi tớn hiu s x(n) mt phớa hu hn cú di N: Px = Ex N = 1 N 1 N x ( n) 2 = x 2 ( n)... in t, vi i lng mang tin tc cú th l in ỏp, dũng in, tn s hoc gúc pha Mi loi tớn hiu khỏc nhau cú nhng tham s c trng riờng, tuy nhiờn tt c cỏc loi tớn hiu u cú cỏc tham s c bn l ln (giỏ tr), nng lng v cụng sut, chớnh cỏc tham s ú núi lờn bn cht vt cht ca tớn hiu Tớn hiu c biu din di dng hm ca bin thi gian x(t), hoc hm ca bin tn s X(f) hay X() 2 Phõn loi tớn hiu: Theo dng ca bin thi gian t v giỏ tr... hin cỏc tỏc ng lờn tớn hiu nh khuych i, suy gim, chn lc, bin i, khụi phc giỏ tr v dng ca tớn hiu * H x lý tớn hiu l cỏc mch in, cỏc thit b, cỏc h thng dựng x lý tớn hiu Vy x lý tớn hiu ng ngha vi gia cụng tớn hiu, v h x lý tớn hiu thc hin cỏc tỏc ng lờn tớn hiu theo mt quy lut nht nh.H x lý tớn hiu cú th 9 ch l mt mch in n gin, cng cú th l nhng thit b hoc h thng phc tp Mi h x lý tớn hiu cho dự l n... thang in tr 8bit d liu: Hỡnh 1.14: S DAC Vi thang in tr 1R v 2R ti mi im giao nhau ca chỳng tr khỏng Data Bus luụn bng 1R : ZBUS = 1R Chỳng to ra b cng tuyn tớnh cho d liu u vo, in ỏp ti Vp c tớnh theo cụng thc: Vp ( n ) = Ve 256 n ( dec ) Trong ú: Ve : in ỏp ng vi ngng 1 ca bus d liu thng =5v 256=2 8 (8 bớt d liu) n (dec ) : l s bus d liu ó quy i theo c s 10 (1.14) 21 Vớ d : Bus d liu cú s FC(HEX)... Data Bus ta dựng mỏy phỏt s WORD GENERATOR phỏt tớn hiu sin lng t kim nh b bin i DAC núi trờn Hỡnh 1.15: Mỏy phỏt sin lng t Quan h tn s ca tớn hiu sin ti u ra (Analog) vi tn s xung Clock h thng theo cụng thc: f(sin) = f(CK)/256 (1.16) B bin i DAC trờn ó c kim chng trờn phn mm WORKBENCH_5.12 cho kt qu dng súng tng i kh quan trờn mỏy hin súng 22 Hỡnh 1.16: Dng súng ca DAC 1.2.2 B bin i ADC: 1.2.2.1 . loại tín hiệu số sau: - Tín hiệu số năng lượng là tín hiệu số có năng lượng hữu hạn. - Tín hiệu số công suất là tín hiệu số có công suất hữu hạn. 2. Các tham số cơ bản của tín hiệu số *. Một số loại tín hiệu số thường gặp là: - Tín hiệu số xác định và ngẫu nhiên. - Tín hiệu số tuần hoàn và không tuần hoàn. - Tín hiệu số hữu hạn và vô hạn. - Tín hiệu số là dãy một phía. - Tín. Bộ giáo dục v đo tạo Bộ quốc phòng Học viện kỹ thuật quân sự Trần thanh sơn Thiết kế bộ lọc tín hiệu số trên công nghệ fpga với công cụ matlab v eda của xilinx

Ngày đăng: 25/12/2014, 19:51

Từ khóa liên quan

Mục lục

  • Bia LV.pdf

  • muc luc.pdf

  • LOI CAM DOAN.pdf

  • viet tat.pdf

  • CHUONG 1.pdf

  • CHUONG 2.pdf

  • CHUONG 3.pdf

  • CHUONG 4.pdf

  • ket luan.pdf

  • tham khao.pdf

  • phu luc.pdf

Tài liệu cùng người dùng

Tài liệu liên quan