Triển khai hệ thống nhúng trên NIOS và ứng dụng điều khiển thiết bị qua máy tính

90 1.2K 2
Triển khai hệ thống nhúng trên NIOS và ứng dụng điều khiển thiết bị qua máy tính

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Triển khai hệ thống nhúng trên NIOS và ứng dụng điều khiển thiết bị qua máy tính Triển khai hệ thống nhúng trên NIOS và ứng dụng điều khiển thiết bị qua máy tính Triển khai hệ thống nhúng trên NIOS và ứng dụng điều khiển thiết bị qua máy tính Triển khai hệ thống nhúng trên NIOS và ứng dụng điều khiển thiết bị qua máy tính Triển khai hệ thống nhúng trên NIOS và ứng dụng điều khiển thiết bị qua máy tính

BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT HƯNG YÊN PHẠM ĐỨC THUẬN TRIỂN KHAI HỆ THỐNG NHÚNG TRÊN NIOS VÀ ỨNG DỤNG ĐIỀU KHIỂN THIẾT BỊ QUA MÁY TÍNH ĐỒ ÁN TỐT NGHIỆP ĐẠI HỌC HƯNG YÊN - 2014 PHẠM ĐỨC THUẬN <TÊN ĐỀ TÀI> 2014 BỘ GIÁO DỤC VÀ ĐÀO TẠO TRƯỜNG ĐẠI HỌC SƯ PHẠM KỸ THUẬT HƯNG YÊN PHẠM ĐỨC THUẬN TRIỂN KHAI HỆ THỐNG NHÚNG TRÊN NIOS VÀ ỨNG DỤNG ĐIỀU KHIỂN THIẾT BỊ QUA MÁY TÍNH NGÀNH: CÔNG NGHỆ THÔNG TIN CHUYÊN NGÀNH: CÔNG NGHỆ MÁY TÍNH ĐỒ ÁN TỐT NGHIỆP ĐẠI HỌC NGƯỜI HƯỚNG DẪN Th.S LÊ TRUNG HIẾU HƯNG YÊN - 2014 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 3 MỤC LỤC MỤC LỤC 3 DANH SÁCH HÌNH VẼ 6 DANH SÁCH BẢNG BIỂU 9 DANH SÁCH TỪ VIẾT TẮT 10 CHƯƠNG 1: TỔNG QUAN VỀ ĐỀ TÀI 11 Lý do chọn đề tài 11 1.1 Mục tiêu của đề tài 12 1.2 Giới hạn và phạm vi của đề tài 12 1.3 Nội dung thực hiện 12 1.4 Phương pháp tiếp cận 13 1.5 CHƯƠNG 2: CƠ SỞ LÝ THUYẾT 14 Tổng quan về FPGA 14 2.1 2.1.1 FPGA là gì? 14 2.1.2 Tóm tắt lịch sử phát triển của FPGA 14 2.1.3 Tại sao cần sử dụng FPGA 15 2.1.4 Các ứng dụng của FPGA 16 2.1.5 Cấu trúc của FPGA 16 2.2. KIT Altera DE2. 18 2.3. Phần mềm Quartus II 21 2.3.1. Giới thiệu Quartus II 21 2.3.2. Thiết kế dự án bằng Quartus II. 23 2.3.3. Xây dựng hệ thống bằng SoPC( Qsys) trên Quartus II 30 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 4 2.4. Phần mềm lập trình NIOS II 35 2.5. Truyền thông qua máy tính 39 2.6. Avalon Bus 43 2.6.1. Avalon Bus Module 45 2.6.2. Các thiết bị ngoại vi Avalon 46 CHƯƠNG 3: NỘI DUNG THỰC HIỆN 48 Sơ đồ tổng quát hệ thống 48 3.1 Chi tiết thiết kế hệ thống 50 3.2 3.2.1. NIOS II Processor – nios2_qsys_0 50 3.2.2. JTAG Debug Module – jtag_uart_0 51 3.2.3. Rs232 – UART( Rs232 Serial Port) 51 3.2.4. SRAM On Chip – onchip_memory2_0 52 3.2.5. EFAN 52 3.2.6. LCD 53 3.2.7. LED Controler – pio_0 – led_green 56 3.2.8. Avalon Bus 56 Thiết kế giao diện điều khiển trên máy tính. 59 3.3 Lưu đồ thuật toán 61 3.4 3.4.1. Quá trình gửi 61 3.4.2. Quá trình nhận 62 Sơ đồ nguyên lý. 64 3.5 3.5.1. Kết nối chân tín hiệu điều khiển ngoại vi 64 3.5.2. Sơ đồ ghép nối Rs232. 65 3.5.3. Sơ đồ module màn hình LCD 66 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 5 3.5.4. Sơ đồ nguyên lý Relay 4 kênh 67 Hình ảnh module thực tế 69 3.6 CHƯƠNG 4: KẾT LUẬN 70 Kết quả đạt được của đề tài 70 4.1 Hạn chế của đề tài 71 4.2 Hướng phát triển của đề tài 71 4.3 TÀI LIỆU THAM KHẢO 72 PHỤ LỤC 73 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 6 DANH SÁCH HÌNH VẼ Hình 2-1: Cấu trúc tổng thể của FPGA 16 Hình 2-2: Khối logic FPGA 17 Hình 2-3: KIT Altera DE2 19 Hình 2-4: Các thành phần trên KIT Altera DE2 19 Hình 2-5: Giao diện chính 21 Hình 2-6: Design Flow 22 Hình 2-7: Khởi tạo dự án 23 Hình 2-8: Đường dẫn, tên dự án, tên thực thể chính. 24 Hình 2-9: Add file. 24 Hình 2-10: Chọn Device 25 Hình 2-11: Công cụ thiết kế, mô phỏng. 26 Hình 2-12: Kết thúc 26 Hình 2-13: New Source 27 Hình 2-14: Trình soạn thảo trên Quartus II 27 Hình 2-15: Cửa sổ Assignment Editor dùng để gán chân 28 Hình 2-16: Cửa sổ Programer 29 Hình 2-17: Đường dẫn đến thư mục Usb-Blaster Driver. 30 Hình 2-18: Chọn hệ thống SoPC dựa trên ngôn ngữ Verilog hoặc VHDL 31 Hình 2-19: Chọn NIOS II processor 32 Hình 2-20: Chọn Debug level 32 Hình 2-21: Tạo bộ nhớ hệ thống. 33 Hình 2-22: JTAG UART 34 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 7 Hình 2-23: Hệ thống SoPC tối thiểu. 34 Hình 2-24: Tạo thêm I/O cần thiết cho hệ thống NIOS II 35 Hình 2-25: Chọn workspace cho dự án. 36 Hình 2-26: Giao diện chương trình phần mềm NIOS II 36 Hình 2-27: Tạo dự án mới với NIOS II 37 Hình 2-28: Trình soạn thảo 38 Hình 2-29: Nạp xuống KIT 38 Hình 2-30: Rs232 Pin table 41 Hình 2-31: Sơ đồ khối một Avalon bus module trong thiết kế 46 Hình 3-1: Sơ đồ tổng quát hệ thống 48 Hình 3-2: Chọn phiên bản NIOS II/e 50 Hình 3-3: Component Jtag_uart 51 Hình 3-4: Component Rs232 51 Hình 3-5: SRAM On Chip 52 Hình 3-6: EFAN 52 Hình 3-7: Lcd_data 53 Hình 3-8: Lcd_rw 54 Hình 3-9: Lcd_rs 54 Hình 3-10: Lcd_e 55 Hình 3-11: Led control 56 Hình 3-12: Giao tiếp giữa cổng Slave và Avalon Bus Module trong quá trình đọc từ Slave 57 Hình 3-13: Thời gian trong quá trình đọc dữ liệu từ cổng slave 57 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 8 Hình 3-14: Các tín hiệu giao tiếp và thời gian trong khi ghi dữ liệu ra cổng slave 58 Hình 3-15: Giao diện chương trình chính 60 Hình 3-16: Giao diện chương trình điều khiển 60 Hình 3-17: Lưu đồ thuật toán quá trình gửi dữ liệu 61 Hình 3-18: Lưu đồ thuật toán quá trình nhận dữ liệu 63 Hình 3-19: Connect to GPIO1( DE2 KIT) 64 Hình 3-20: Mạch chuẩn giao tiếp Rs232 dùng IC Max232 65 Hình 3-21: Sơ đồ module màn hình LCD 66 Hình 3-22: Sơ đồ nguyên lý Module Relay 4 kênh 68 Hình 3-23: Module Relay 4 kênh - 5V-220V/10A 69 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 9 DANH SÁCH BẢNG BIỂU Bảng 3-1: Chân kết nối tín hiệu điều khiển GPIO1 65 Bảng 3-2: Rs232 pin assignments 66 Bảng 3-3: LCD Module pin assignment 67 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 10 DANH SÁCH TỪ VIẾT TẮT Từ viết tắt Từ đầy đủ Giải thích ASIC Application-Specific Integrated Circuit Vi mach tích hợp chuyên dụng CPLD Complex Programmable Logic Device Thiết bị logic có thể lập trình được. DE Development and Education FPGA Field programmable gate array Vi mạch dùng cấu trúc mảng phần tử logic lập trình được LUT Look Up Table Bảng tìm kiếm PLA Programmable Logic Array Mảng logic khả trình SOPC System on a Programmable Chip Builder Xây dựng hệ thống trên một CHIP VHDL VHSIC Hardware Description Language Ngôn ngữ lập trình phần cứng [...]... về công nghệ nên Em đã chọn đề tài nghiên cứu: “ Triển khai hệ thống nhúng trên nios và điều khiển thiết bị qua máy tính làm đề tài tốt nghiệp Đại học của mình 11 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính Trong quá trình thực hiện đề tài này, do còn hạn chế về kiến thức và thời gian nên không tránh được những thiếu sót Em kính mong quý thầy cô thông cảm và bỏ qua đồng... ngữ, phần mềm thiết kế hệ thống nhúng trên nền tảng Altera như VHDL, Verilog, Qsys trên Quartus II và viết phần mềm trên NIOS II Lập trình giao diện điều khiển thiết bị trên máy tính bằng Visual Studio 2010 Sử dụng các phương pháp nghiên cứu:  Phương pháp đọc tài liệu  Phương pháp phân tích mẫu  Phương pháp thực nghiệm 13 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính CHƯƠNG... sử dụng trong các phòng lab và các hệ thống số tinh vi Altera cung cấp các công cụ hỗ trợ phù hợp cho DE2, gồm có các hướng dẫn, các bài lab trên DE2, và các bài minh họa… 18 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính Hình 2-3: KIT Altera DE2 Các thành phần và thông số của KIT Hình 2-4: Các thành phần trên KIT Altera DE2 19 Triển khai hệ thống nhúng trên NIOS và điều khiển. .. điều khiển  Nghiên cứu và phát triển hệ thống nhúng trên FPGA của hãng Altera  Viết chương trình điều khiển trên máy tính kết nối với FPGA điều khiển thiết bị ngoại vi 1.4 Nội dung thực hiện  Tìm hiểu, nghiên cứu nguyên lý hoạt động của Kit Altera DE2 Cylone II  Tìm hiểu về các cổng vào ra của Kit DE2, kết nối với máy tính và các thiết bị ngoại vi 12 Triển khai hệ thống nhúng trên NIOS và điều khiển. .. 2-18: Chọn hệ thống SoPC dựa trên ngôn ngữ Verilog hoặc VHDL  Tạo VXL NIOS cho hệ thống Chọn kiểu NIOS II: tùy vào tính năng của hệ thống mà chọn lõi NIOS II cho phù hợp Trong vi dụ này vì không càn nhiều chức năng nên ta chon phiên bản đơn giản nhất là phiên bản NIOS II/e bằng cách check vào mục NIOS II/e như hình bên dưới 31 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính Hình... 34 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Tạo I/O port cho NIOS II Hình 2-24: Tạo thêm I/O cần thiết cho hệ thống NIOS II 2.4 Phần mềm lập trình NIOS II Việc viết phần mềm cho phần cứng FPGA cũng tương tự như quá trình phát triển phần mềm cho bất kỳ họ vi điều khiển nào khác Bộ công cụ phần mềm Nios II EDS chuyên dùng để viết phần mềm chạy trên bộ vi xử lý NiosII Nios. .. ý và chỉ bảo thêm của quý thầy cô 1.2 Mục tiêu của đề tài  Tạo bước đầu cho Sinh Viên nghiên cứu ứng dụng của Altera DE2 Cylone II nói riêng và FPGA nói chung  Nắm được trình tự thiết kế một ứng dụng sử dụng NIOS trên DE2: Cách lập trình, thiết kế phần cứng, cũng như cách vận hành giao tiếp giữa Kit DE2 với các thiết bị ngoại vi  Thiết kế hệ thống nhúng trên NIOS và ứng dụng điều khiển thiết bị qua. .. 12 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Đưa ra được giải pháp và chức năng của sản phẩm, thiết kế mạch và lập trình đạt được yêu cầu đặt ra của hệ thống điều khiển  Sử dụng tốt công cụ lập trình NIOS tạo ứng dụng điều khiển thiết bị qua máy tính  Lắp đặt, chạy thử và hoàn thiện hệ thống  Quyển báo cáo viết và trình bày theo đúng mẫu quy định 1.5 Phương pháp tiếp... phát triển thực hiện thiết kế của họ, đồng thời cho phép phân tích thời gian, kiểm tra sơ đồ RTL, mô phỏng hệ thống và cấu hình sản phẩm một cách phù hợp nhất Hình 2-5: Giao diện chính 21 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính Thiết kế FPGA với SoPC( Qsys) trên Quartus II Dưới đây là quy trình thiết kế FPGA với các phần mềm thiết kế FPGA nói chung và Quartus II nói riêng:... Xây dựng hệ thống bằng SoPC( Qsys) trên Quartus II  Hệ thống SoPC( System on Programable Chip) bao gồm các thành phần chính:  CPU Nios II  Memory( onchip memory hoặc Ram ngoài)  JTAG 30 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính  Port I/O  Các component  Ta mở tool SoPC builder( Qsys) trong phần mềm QUATUS II Sau đó đặt tên hệ thống, chọn ngôn ngữ mô tả phần cứng là . các cổng vào ra của Kit DE2, kết nối với máy tính và các thiết bị ngoại vi. Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 13  Đưa ra được giải pháp và chức năng. UART 34 Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 7 Hình 2-23: Hệ thống SoPC tối thiểu. 34 Hình 2-24: Tạo thêm I/O cần thiết cho hệ thống NIOS II 35 Hình. Xây dựng hệ thống trên một CHIP VHDL VHSIC Hardware Description Language Ngôn ngữ lập trình phần cứng Triển khai hệ thống nhúng trên NIOS và điều khiển thiết bị qua máy tính 11

Ngày đăng: 03/11/2014, 18:11

Từ khóa liên quan

Tài liệu cùng người dùng

Tài liệu liên quan