THIẾT KẾ MẠCH XỬ LÝ TÍN HIỆU SỬ DỤNG VHDL

90 1.2K 2
THIẾT KẾ MẠCH XỬ LÝ TÍN HIỆU SỬ DỤNG VHDL

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Ngày nay ngành công nghệ chế tạo phần cứng luôn có những đột phá không ngừng. Từ các mạch điện đơn giản đến các mạch số, mạch tích hợp, kiến trúc mạch trở nên ngày một phức tạp hơn. Nhờ những ưu điểm hơn hẳn so với các phương pháp phân tích, mô hình hoá, thiết kế mạch số kiểu truyền thống mà phương pháp thiết kế mạch sử dụng các ngôn ngữ mô tả phần cứng (HDL Hardware Description Languages) đang trở thành một phương pháp thiết kế các hệ thống điện tử số phổ biến trên toàn thế giới. Chính vì lý do đó mà tôi lựa chọn đề tài “Thiết kế mạch xử lý tín hiệu sử dụng VHDL” làm đồ án tốt nghiệp. Nội dung của đồ án gồm 3 chương:Chương 1: Tổng quan về thiết bị logic khả trình.Chương 2: Ngôn ngữ mô tả phần cứng.Chương 3: Thiết kế một số bộ mã sử dụng VHDL ứng dụng trong viễn thông.Trong khuôn khổ phạm vi của đồ án tôi xin giới thiệu một loại ngôn ngữ mô tả phần cứng đó là VHDL (Very high speed intergrated circuit Hardware Description Language), loại ngôn ngữ chủ yếu được sử dụng để mô tả phần cứng trong công nghệ CPLD, FPGA, ASIC,…Mục tiêu của đồ án là nghiên cứu, thiết kế một số bộ mã ứng dụng trong viễn thông trên nền tảng các thiết bị logic khả trình sử dụng VHDL. Một số bộ mã được ứng dụng nhiều trong viễn thông như mã Hamming, mã Manchester hay mã HDB3,…Đây là những loại mã đã được phát triển và cho thấy vai trò to lớn trong viễn thông. Chính vì thế mà trong đồ án tôi sẽ đi sâu tìm hiểu nguyên tắc của từng loại mã và trên cơ sở đó thiết kế chúng bằng ngôn ngữ VHDL.

LỜI NÓI ĐẦU Cùng với sự tiến bộ của khoa học và công nghệ, các thiết bị điện tử đang và sẽ tiếp tục được ứng dụng ngày càng rộng rãi và mang lại hiệu quả cao trong hầu hết các lĩnh vực kinh tế, kỹ thuật cũng như đời sống xã hội. Vấn đề xử lý tín hiệu trong các thiết bị điện tử hiện đại đều dựa trên cơ sở nguyên lý số. Bởi vậy việc hiểu sâu sắc về điện tử số là điều không thể thiếu được đối với kỹ sư điện tử ngày nay. Nhu cầu hiểu biết về kỹ thuật số không phải chỉ riêng đối với các kỹ sư điện tử mà còn đối với nhiều cán bộ kỹ thuật chuyên ngành khác có sử dụng các thiết bị điện tử. Ngày nay ngành công nghệ chế tạo phần cứng luôn có những đột phá không ngừng. Từ các mạch điện đơn giản đến các mạch số, mạch tích hợp, kiến trúc mạch trở nên ngày một phức tạp hơn. Nhờ những ưu điểm hơn hẳn so với các phương pháp phân tích, mô hình hoá, thiết kế mạch số kiểu truyền thống mà phương pháp thiết kế mạch sử dụng các ngôn ngữ mô tả phần cứng (HDL - Hardware Description Languages) đang trở thành một phương pháp thiết kế các hệ thống điện tử số phổ biến trên toàn thế giới. Chính vì lý do đó mà tôi lựa chọn đề tài “Thiết kế mạch xử lý tín hiệu sử dụng VHDL” làm đồ án tốt nghiệp. Nội dung của đồ án gồm 3 chương: Chương 1: Tổng quan về thiết bị logic khả trình. Chương 2: Ngôn ngữ mô tả phần cứng. Chương 3: Thiết kế một số bộ mã sử dụng VHDL ứng dụng trong viễn thông. Trong khuôn khổ phạm vi của đồ án tôi xin giới thiệu một loại ngôn ngữ mô tả phần cứng đó là VHDL (Very high speed intergrated circuit Hardware Description Language), loại ngôn ngữ chủ yếu được sử dụng để mô tả phần cứng trong công nghệ CPLD, FPGA, ASIC,… 1 Mục tiêu của đồ án là nghiên cứu, thiết kế một số bộ mã ứng dụng trong viễn thông trên nền tảng các thiết bị logic khả trình sử dụng VHDL. Một số bộ mã được ứng dụng nhiều trong viễn thông như mã Hamming, mã Manchester hay mã HDB3,…Đây là những loại mã đã được phát triển và cho thấy vai trò to lớn trong viễn thông. Chính vì thế mà trong đồ án tôi sẽ đi sâu tìm hiểu nguyên tắc của từng loại mã và trên cơ sở đó thiết kế chúng bằng ngôn ngữ VHDL. Đây là một lĩnh vực rất rộng và đa dạng nên chắc chắn không tránh khỏi các sai sót. Tôi kính mong nhận được sự đóng góp, nhận xét quý báu của các thầy, các bạn và những ai quan tâm tới lĩnh vực này. 2 CHƯƠNG 1 TỔNG QUAN VỀ THIẾT BỊ LOGIC KHẢ TRÌNH 1.1 GIỚI THIỆU CHUNG Các mạch kỹ thuật số tổ hợp và tuần tự đã được phát triển từ lâu. Theo truyền thống, thiết kế số là quá trình thiết kế và đạt được các mạch điện theo phương pháp thủ công bằng cách sử dụng các công cụ nhập sơ đồ mạch. Quá trình này có nhiều bất lợi, đã và đang được nhanh chóng thay thế bằng các phương pháp mới. Các mạch tích hợp (IC - Integrated Circuit) số rất đa dạng từ thực hiện các phép tính kỹ thuật số căn bản đến các chức năng phức tạp như: bộ ghép kênh, bộ tách kênh, bộ cộng, bộ so sánh, bộ mã hoá, bộ giải mã, bộ đếm,…Các IC số này có chức năng cố định, tức là mỗi IC thực hiện một chức năng riêng biệt. Những linh kiện này được sản xuất với một số lượng lớn để đáp ứng nhu cầu ứng dụng rất đa dạng và phong phú. Để thiết kế một mạch điện, nhà thiết kế có thể chọn từ các IC có sẵn phù hợp nhất cho mạch điện. Phần thiết kế này có thể được chỉnh sửa để đáp ứng các yêu cầu chuyên biệt của những linh kiện này. Ưu điểm của phương pháp này là: + Chi phí phát triển thấp. + Vận hành nhanh xung quanh bản thiết kế. + Tương đối dễ thử nghiệm các mạch. Nhược điểm: + Các yêu cầu về kích thước trong bảng mạch lớn. + Yêu cầu về điện lớn. + Thiếu tính bảo mật do các bảng mạch có thể bị sao chép. + Các yêu cầu về chi phí bổ sung, khoảng trống, điện,…cần thiết để 3 chỉnh sửa bản thiết kế hoặc trình bày các tính năng khác lớn. Những người thiết kế hệ thống luôn phải tranh đua tạo nên càng nhanh càng tốt các sản phẩm có giá thành hợp lý trong môi trường cạnh tranh cao. Để đạt được điều này, những người thiết kế đã và đang hướng đến việc sử dụng hệ phương pháp thiết kế từ trên - xuống bao gồm cả việc sử dụng ngôn ngữ mô tả phần cứng và phần mềm tổng hợp, cùng với quá trình mô phỏng. Sản phẩm có thể là một thiết bị điện tử nào đó chứa các mạch tích hợp ứng dụng chuyên biệt (ASIC - Aplication Specific IC) hoặc dải cổng lập trình được dạng trường (FPGA - Field Programmable Gate Array). Trong những năm gần đây, nhiều người thiết kế đã chấp nhận hệ phương pháp thiết kế từ trên - xuống cho dù hệ phương pháp này làm cho họ xa rời việc thiết kế mức logic và mức transistor để đến với lập trình trừu tượng. Để khắc phục những nhược điểm của thiết kế bằng cách sử dụng các IC có chức năng cố định, ASIC đã được phát triển. Các ASIC đã được thiết kế để đáp ứng các yêu cầu chuyên biệt của một mạch và được giới thiệu bởi một nhà sản xuất IC. Các thiết kế này quá phức tạp không thể thực hiện bằng cách sử dụng các IC chức năng cố định được. Ưu điểm của phương pháp này là: + Giảm thiểu được kích thước thông qua việc sử dụng mức tích hợp cao. + Giảm thiểu được yêu cầu về điện, về chỗ. + Nếu được sản xuất theo một quy mô lớn thì chi phí giảm đáng kể. + Việc thiết kế được thực thi dưới dạng này thì hoàn toàn không thể sao chép được. Nhược điểm: + Chi phí phát triển ban đầu có thể cực kỳ lớn. + Các phương pháp thử nghiệm phải được phát triển và điều này làm gia tăng chi phí và công sức. 4 Có một phương pháp khác có các ưu điểm của hai phương pháp trên là sử dụng các thiết bị logic khả trình (PLD - Programmable Logic Device). Một thiết bị logic có thể lập trình là một IC mà người dùng có thể cấu hình để chúng có khả năng thực thi các chức năng logic như mong muốn. Đây là một vi mạch tích hợp mật độ lớn (LSI - Large Scale Integrated) có chứa một cấu trúc “bình thường” và cho phép nhà thiết kế tạo tuỳ biến cho nó để dùng cho bất kỳ ứng dụng đặc biệt nào, tức là nó có thể được người dùng lập trình để thực hiện chức năng cần thiết cho ứng dụng của họ. Các PLD có các ưu điểm sau: + Chu kỳ thiết kế ngắn. + Chi phí phát triển thấp. + Giảm thiểu được yêu cầu khoảng trống trên bảng mạch. + Giảm thiểu được yêu cầu về điện. + Bảo đảm tính bảo mật của thiết kế. + Mạch được kết chặt lại. + Tốc độ đảo mạch nhanh hơn. + Mật độ tích hợp cao. + Chi phí sản xuất với số lượng lớn thấp. PLD cũng cho phép nhà thiết kế có nhiều phương tiện linh động hơn để thí nghiệm với các bản thiết kế bởi vì chúng có thể được lập trình lại trong vài giây. Với nhiều ưu điểm như vậy nên hiện nay có một số lượng lớn các PLD được các nhà sản xuất IC tạo ra với nhiều tính năng đa dạng và nhiều tuỳ chọn có sẵn để nhà thiết kế mạch có thể sử dụng một cách phổ biến. Cấu trúc và các tính năng đa dạng khác của các PLD như bộ nhớ chỉ đọc (ROM - Read Only Memory), các mảng logic lập trình (PLA - Programmable Logic Array), logic mảng có thể lập trình (PAL - Programmable Array Logic), thiết bị logic có thể lập trình đơn giản (SPLD - Simplex PLD) và 5 các FPGA sẽ được đề cập. Việc đưa vào các ngôn ngữ mô tả phần cứng chuẩn công nghiệp và công cụ tổng hợp thương mại có sẵn đã giúp củng cố hệ phương pháp thiết kế mang tính cách mạng này. Một số thuận lợi là: + Khả năng sản xuất tăng dẫn đến các chu kỳ phát triển với nhiều đặc trưng hơn của sản phẩm sẽ ngắn hơn và làm giảm thời gian đưa ra thị trường. + Giảm các chi phí kỹ thuật không lặp lại. + Cho phép tái sử dụng thiết kế. + Tăng tính linh động đối với những thay đổi thiết kế. + Thăm dò nhanh hơn các kiến trúc thay thế. + Thăm dò nhanh hơn các thư viện công nghệ thay thế. + Cho phép sử dụng phần mềm tổng hợp để rà soát một cách nhanh chóng khoảng diện tích thiết kế và định thời, tự động tạo các mạch điện khả kiểm tra. + Kiểm tra, xác minh thiết kế tốt hơn và dễ dàng hơn. 1.2 THIẾT BỊ LOGIC KHẢ TRÌNH Các vi mạch chuẩn bán sẵn trên thị trường thường có chức năng cố định do những nhà sản xuất định nghĩa. Trái ngược với các linh kiện trên, ASIC và FPGA đều là những loại vi mạch mà chức năng của chúng không được ấn định bởi nhà sản xuất. Chức năng của ASIC và FPGA sẽ được định nghĩa bởi người thiết kế cho từng ứng dụng cụ thể. Trong trường hợp tổng quát, ASIC sẽ yêu cầu quá trình chế tạo sau cùng để tạo ra chức năng theo yêu cầu của khách hàng, còn FPGA thì không. ASIC là linh kiện được sản xuất chưa hoàn chỉnh bởi nhà cung cấp ASIC ở dạng tổng quát. Quá trình chế tạo ban đầu này rất phức tạp, mất nhiều thời gian và là phần đắt tiền trong toàn bộ quá trình sản xuất. Kết quả của quá trình chế tạo ban đầu sẽ là những vi mạch silic có các dải transistor 6 chưa nối với nhau. Quá trình chế tạo sau cùng là quá trình kết nối các transistor với nhau, sẽ được hoàn tất khi người thiết kế vi mạch có một thiết kế cụ thể và người này muốn thực hiện điều này trong vài tuần và gọi đây là thời gian làm thay đổi hoàn toàn. Có hai loại linh kiện ASIC, đó là dải cổng và cell chuẩn. Dải cổng gồm hai loại là dải cổng được chia kênh hay có kênh và dải cổng không có kênh. Dải cổng có kênh được sản xuất với một hoặc vài hàng cell cơ bản ngang qua vi mạch silic. Một cell cơ bản bao gồm một số transistor. Các kênh giữa những hàng cell cơ bản được sử dụng để liên kết nối các cell cơ bản trong thời gian của quá trình sản xuất sau cùng theo yêu cầu của khách hàng. Dải cổng không có kênh được sản xuất với rất nhiều cell cơ bản ngang qua vi mạch silic và không có các kênh chuyên dụng cho việc liên kết nối. Các dải cổng chứa từ vài ngàn cổng tương đương đến vài trăm ngàn cổng tương đương hoặc hơn. Thư viện cell do những nhà cung cấp dải cổng hỗ trợ sẽ bao gồm: các cổng logic cơ bản, thanh ghi, macro cứng và macro mềm. Các macro cứng và macro mềm thường có độ phức tạp của mạch tích hợp mật độ trung bình (MSI - Medium Scale Integrated) và LSI, chẳng hạn như mạch ghép kênh, mạch so sánh và mạch đếm. Macro cứng được định nghĩa bởi nhà sản xuất dưới dạng các mẫu cell cơ bản. Các macro mềm được đặc trưng hoá bởi người thiết kế, thí dụ bằng cách chỉ ra độ rộng của một mạch đếm cụ thể. Các linh kiện cell chuẩn không có khái niệm về cell cơ bản và không có thành phần nào được sản xuất trước trên vi mạch silic. Nhà sản xuất tạo ra các mặt nạ tuỳ thuộc khách hàng cho từng giai đoạn của quá trình sản xuất vi mạch, có nghĩa là silic được tận dụng hiệu quả hơn nhiều so với các dải cổng. Nhà sản xuất cung cấp các thư viện macro cứng và macro mềm chứa những phần tử mà có độ phức tạp của LSI và tích hợp mật độ rất lớn (VLSI - Very Large Scale Integrated), chẳng hạn như bộ điều khiển, bộ vi xử lí, 7 đơn vị logic và số học (ALU - Arithmetic and Logic Unit). Ngoài ra, thư viện macro mềm còn chứa nhiều mạch chức năng như bộ nhớ truy nhập ngẫu nhiên (RAM - Random Access Memory) mà ta không thể thực hiện một cách có hiệu quả trong các linh kiện dải cổng; mạch chức năng ROM thường được thực hiện hiệu quả hơn trong các mẫu cell cơ bản. Vi mạch lập trình PLD là loại cấu kiện điện tử có nhiều ưu điểm và hiện nay đang được phát triển rất mạnh. 1.2.1 Thiết bị logic khả trình đơn giản Đây là loại thiết bị số có nhiều ưu điểm và cũng đã được phát triển rất mạnh. Về nguyên lý, chúng có cấu tạo rất giống với bộ nhớ chỉ đọc lập trình được (PROM - Programmble Read Only Memory). Việc lập trình cho SPLD có thể được thực hiện bằng các công nghệ khác nhau, dựa trên cơ sở thực hiện các kết nối bằng cách sử dụng cầu chì hoặc chuyển mạch. Một SPLD, được tạo thành bằng một số mảng cổng AND, OR, XOR hoặc cả các Triger, có thể thực hiện nhiều hàm Boolean khác nhau. Các SPLD đều có cấu tạo dựa trên một trong hai dạng cấu trúc chính: mảng logic khả trình PLA và logic mảng khả trình PAL. Mảng PLA Mảng PAL Các đầu vào Các đầu vào Các đầu ra Hình 1.1 So sánh giữa PLA và PAL 8 Các đầu ra Thành phần cơ bản của PLA là một mảng AND và một mảng OR lập trình được. Mỗi mảng AND, OR gồm các hàng và các cột liên kết với nhau. Tại mỗi điểm giao giữa hàng và cột, có một cầu chì. Khi cầu chì đóng, tại điểm đó có kết nối giữa hàng và cột; khi cầu chì ngắt, tại đó không có kết nối. Việc đóng ngắt cầu chì được thực hiện bằng phần mềm (do lập trình viên hoặc sử dụng công cụ lập trình trên hệ thống). Cấu trúc PLA tạo ra sự tổ hợp tùy ý giữa các cổng AND và OR, mật độ logic cao nhưng tốc độ chậm, số lượng cầu chì lớn. PAL dùng để mô tả một họ thiết bị logic có khả năng lập trình để thực hiện các các cổng logic trong mạch số được giới thiệu bởi Monolithic Memories Inc (MMI) vào năm 1978. PAL bao gồm có lõi PROM cộng thêm cổng logic đầu ra. Các thiết bị logic mảng chung (GAL - Generic Array Logic) là một kiểu PAL cấu hình khác. GAL được giới thiệu bởi Lattice Semiconductor vào năm 1985. GAL là một loại vi mạch lập trình được có thể thay thế cho các vi mạch 74xx và 40xx. Thiết bị này có các tính chất giống như PAL, nhưng có thể xóa và lập trình lại. Do đó chúng ta có thể thay đổi thiết kế một cách linh hoạt và mềm dẻo, mật độ tích hợp của vi mạch cao hơn. Ngoài ra GAL còn giúp cho chúng ta thu gọn kích thước của bản mạch thiết kế, tăng khả năng giữ bản quyền. GAL có thể lập trình bởi bộ nạp tương thích hoặc nạp trực tiếp trên mạch điện. Một dạng tương tự GAL là PEEL (Programmable Electrically Erasable Logic) đã được phát triển bởi International CMOS Technology (ICT) Corporation. Hiện nay GAL phổ biến đó là GAL16V8 và GAL20V8. 1.2.2 Thiết bị logic khả trình phức hợp Thiết bị logic khả trình phức hợp (CPLD - Complex PLD) có mật độ logic cao hơn so với các PLD đơn giản như đã xét ở trên. CPLD bao gồm nhiều mạch logic, mỗi mạch có thể coi là một SPLD. Trong một mạch đơn 9 chỉ thực hiện các chức năng logic đơn giản. Các chức năng logic phức tạp hơn cần số lượng khối nhiều hơn, sử dụng ma trận liên kết chung giữa các khối để tạo kết nối. CPLD thường dùng để điều khiển ghép cổng phức hợp ở tốc độ rất cao. Hình 1.2 Kiến trúc của CPLD CPLD có cấu trúc đồng nhất gồm nhiều khối chức năng được kết nối với nhau thông qua một ma trận kết nối trung tâm. Mỗi khối chức năng gồm có một khối logic - gồm các cổng logic AND và OR sắp xếp giống PLA hoặc PAL, cho phép thực hiện các hàm logic tổ hợp và nhiều khối Macrocell (MC) có chứa tài nguyên là các Triger cho phép xây dựng các thanh ghi và mạch tuần tự. Phần lõi bên trong của CPLD được nối ra bên ngoài thông qua các khối vào ra (I/O - Input/Output) cho phép thiết lập chức năng cho các chân IC có chức năng vào hoặc ra hoặc vừa là chân vào vừa là chân ra, ngoài ra còn có thể thiết lập các chân I/O này làm việc ở các mức logic khác nhau, có điện trở kéo lên hoặc kéo xuống. Với cấu trúc đồng nhất, giá thành rẻ, tính năng khá mạnh, dễ sử dụng, CPLD đã và đang được sử dụng rất rộng rãi trong thực tế, giúp cho nhà sản xuất phát triển nhanh sản phẩm của mình với giá thành rẻ. Đặc biệt hiện 10 [...]... khi thiết kế phức tạp, và thiết kế không thể sử dụng sang công cụ thiết kế CPLD của các hãng khác 18 Hình 1.5 Lưu đồ thiết kế cho CPLD - Nhập thiết kế sử dụng HDL Người thiết kế có thể sử dụng chương trình soạn thảo để thực hiện việc mô tả toàn bộ bản thiết kế của mình dưới dạng HDL nào đó mà công cụ thiết kế có thể tổng hợp được Có rất nhiều phương pháp mô tả, mức độ trừu tượng khác nhau khi thiết kế, ... có tính minh hoạ rất dễ sử dụng cho người dùng Hệ thống MAX+PLUS II hoàn chỉnh bao gồm 10 ứng dụng tích hợp trợ giúp người thiết kế từng bước từ thiết kế đến lập trình IC + Nhiều đặc trưng và các lệnh có thể sử dụng cho nhiều ứng dụng MAX+PLUS II để việc học một ứng dụng này sẽ giúp cho người thiết kế học các ứng dụng khác + Người kỹ sư có thể tổ hợp các kiểu tệp thiết kế khác nhau trong một đề án thiết. .. cấu trúc mạch khác nhau trong CPLD mặc dù chúng có cùng chức năng Do đó người thiết kế cần thực hiện phân tích bài toán, tìm hiểu tài nguyên, cấu trúc của CPLD, yêu cầu về thời gian thiết kế để sử dụng kiểu mô tả - Nhập thiết kế dưới dạng sơ đồ Công cụ thiết kế còn cho phép nhập 19 thiết kế vào dưới dạng sơ đồ mà điển hình là đồ hình trạng thái Việc nhập thiết kế rất linh hoạt, có thể sử dụng cả ba... bước cụ thể như sau: + Nhập thiết kế Đây là bước đầu tiên và quan trọng nhất của quá trình thiết kế cho CPLD Các công cụ cho phép nhập thiết kế theo các cách sau: - Nhập thiết kế theo sơ đồ nguyên lý Schematic Người thiết kế sử dụng các modul đã có sẵn trong thư viện Schematic để ghép nối chúng với nhau tạo thành bản thiết kế theo yêu cầu, cách này có thể thực hiện thiết kế nhanh nhưng sẽ rất khó khăn... thiết kế mô tả hệ thống + Thứ hai là khả năng hỗ trợ nhiều công nghệ và phương pháp thiết kế VHDL cho phép thiết kế bằng nhiều phương pháp ví dụ phương pháp thiết kế từ trên xuống, hay từ dưới lên dựa vào các thư viện sẵn có VHDL cũng hỗ trợ cho nhiều loại công cụ xây dựng mạch như sử dụng công nghệ đồng bộ hay không đồng bộ, sử dụng ma trận lập trình được hay sử dụng mảng ngẫu nhiên + Thứ ba là tính... của thiết kế + Kiểm tra, mô phỏng thiết kế Thực hiện kiểm tra, mô phỏng chức năng hoạt động của thiết kế HDL đã tạo ra ở trên Các công cụ thiết kế đều hỗ trợ việc mô phỏng chức năng của bản thiết kế HDL theo mô hình hoạt động, mức độ mô phỏng này độc lập với loại CPLD đã được lựa chọn Bước này có thể không cần phải thực hiện trong khi thiết kế + Tổng hợp thiết kế Sau khi hoàn thành mô phỏng thiết kế, ... thiết kế cho CPLD hoặc FPGA, bước nhập thiết kế là bước quan trọng và tốn nhiều công sức nhất, nó quyết định phần lớn đến kết quả của công việc thiết kế Các công cụ thiết kế hỗ trợ nhiều phương pháp nhập thiết kế khác nhau, tuy nhiên phương pháp nhập thiết kế dùng HDL là ưu việt hơn cả và được sử dụng chủ yếu trong quá trình thiết kế số nói chung và thiết kế cho CPLD/FPGA nói riêng HDL là ngôn ngữ lập... pháp thiết kế trên giấy đã được thay bằng cách thiết kế trên máy tính, từ đó các nhà thiết kế có thể kiểm tra và có các công cụ tạo ra phần cứng tự động từ các bản thiết kế đó Hỗ trợ mạnh mẽ nhất cho các công cụ thiết kế này là HDL Hiện nay, các nhà nghiên cứu đã tìm ra nhiều cách cho phép HDL có thể cải tiến quá trình thiết kế hệ thống số Trong quá trình thiết kế, HDL là công cụ thiết kế nhằm mục... chuyển thiết kế dưới dạng file văn bản HDL thành dạng file netlist, thực hiện mô tả mạch thực ở mức thấp dưới dạng cổng logic và kết nối giữa chúng với nhau Có thể sử dụng các công cụ tổng hợp của các hãng khác nhau + Thực hiện thiết kế Sau khi có file netlist, bước tiếp theo là thực hiện thiết kế, nghĩa là xây dựng cấu hình cho CPLD Bước này sử dụng file netlist và file ràng buộc để tạo thiết kế sử dụng. .. được sử dụng hơn cả Khi thiết 16 kế cần chọn loại PROM có dung lượng phù hợp với mật độ của các loại FPGA khác nhau 1.3.1.3 Chọn công cụ phần mềm phù hợp Xilinx đã cung cấp các công cụ thiết kế điện tử hoàn chỉnh, cho phép thực hiện thiết kế trên các thiết bị logic khả trình của Xilinx Các công cụ này kết hợp công nghệ tiên tiến với giao diện đồ họa linh hoạt, dễ sử dụng để người thiết kế có được thiết . thiết kế phức tạp, và thiết kế không thể sử dụng sang công cụ thiết kế CPLD của các hãng khác. 18 Hình 1.5 Lưu đồ thiết kế cho CPLD - Nhập thiết kế sử dụng HDL. Người thiết kế có thể sử dụng. thế giới. Chính vì lý do đó mà tôi lựa chọn đề tài Thiết kế mạch xử lý tín hiệu sử dụng VHDL làm đồ án tốt nghiệp. Nội dung của đồ án gồm 3 chương: Chương 1: Tổng quan về thiết bị logic khả. nhu cầu ứng dụng rất đa dạng và phong phú. Để thiết kế một mạch điện, nhà thiết kế có thể chọn từ các IC có sẵn phù hợp nhất cho mạch điện. Phần thiết kế này có thể được chỉnh sửa để đáp ứng

Ngày đăng: 12/07/2014, 22:52

Từ khóa liên quan

Mục lục

  • 1.4.1 Giới thiệu hãng Xilinx

    • 1.4.1.1 Giới thiệu phần mềm ISE

    • 1.4.1.2 Giới thiệu các dòng sản phẩm chính của Xilinx

      • a. Virtex-II Pro

        • Bảng 1.1 Một số sản phẩm của dòng Virtex-II Pro 1.5V

        • b. Spartan-3 FPGA

          • Bảng 1.2 Một số sản phẩm của dòng Spartan-3

          • c. CoolRunner-II

            • Bảng 1.3 Một số sản phẩm của dòng CoolRunner

            • 1.4.2 Giới thiệu hãng Altera

              • 1.4.2.1 Giới thiệu phần mềm MAX + PLUS II

              • 1.4.2.2 Đặc điểm của các thiết bị Altera lập trình được

              • 1.4.2.3 Các dòng sản phẩm chính của Altera

                • Hình 1.7 Các sản phẩm Logic CMOS của ALTERA

                • 1.4.2.4 Các họ thiết bị của Altera

                  • Bảng 1.4 Kiến trúc thiết bị Altera

                  • Bảng 1.5 Số cổng và các chân I/O của các họ thiết bị Altera

                  • 1.4.2.5 Giới thiệu một số sản phẩm của Altera

                    • a. Nhóm IC EP20K100 (EP20K100TC144-3)

                    • b. Nhóm IC EPF10K10/EPF10K10A (EPF10K10LC84-4)

                    • c. Nhóm IC EPF8282A (EPF8282ALC84-4)

                    • d.Nhóm EPM7032/EPM7032V EPLDs

                    • e. Nhóm EPM7064S/EPM7064AE EPLDs (EPM7064SLC44-5)

                    • f. Họ IC EPC1441 (EPC1441PC8)

                    • g. Họ IC EPC1 (EPC1PC8)

Tài liệu cùng người dùng

Tài liệu liên quan