Nhóm 8 SỬ DỤNG BỘ MUX THIẾT KẾ MẠCH TẠO VÀ KIỂM TRA CHẴN CÁC CHUỖI DỮ LIỆU 5 BIT

27 6 0
Nhóm 8 SỬ DỤNG BỘ MUX THIẾT KẾ MẠCH TẠO  VÀ KIỂM TRA CHẴN CÁC CHUỖI DỮ LIỆU 5 BIT

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

SỬ DỤNG BỘ MUX THIẾT KẾ MẠCH TẠO VÀ KIỂM TRA CHẴN CÁC CHUỖI DỮ LIỆU 5 BIT 2.2 Mạch kiểm tra chuỗi dữ liệu chẵn 2.2.1 Nguyên lý mạch kiểm tra chẵn Tương tự như ở phần tạo bit parity chẵn ta có 4 bit dữ liệu S0, S1, S2, S3, S4 và có thêm một bit dữ liệu khi tạo ra là P. P là bit dữ liệu bất kì được tạo ra nên ta coi mạch kiểm tra là 6 bit dữ liệu. Nếu chuỗi dữ liệu không là chẵn thì bit E (Error) sẽ bằng 1 và chuỗi dữ liệu truyền đi bị lỗi. Từ bảng chân lý kiểm tra bit chẵn trên ta thấy có 6 bit đầu vào điều khiển cho bộ MUX nên ta có ba cách chọn: Cách một: Chọn hai bộ MUX 81 để gộp 6 bit thành 2 bit và một bộ MUX 41 để gộp 2 bit thành 1 bit. Cách hai: nối tiếp 6 bộ MUX 41 để tìm ra dữ liệu có lỗi hay không. Cách ba: Sử dụng bộ MUX 161 và 1 bộ MUX 41 Với ba cách chọn IC MUX ở trên ta thấy cách 3 là hợp lý nhất nhưng do IC MUX 161 trên thị trường khá khan hiếm nên nhóm đã chọn cách một để làm mạch kiểm tra này.

BỘ CÔNG THƯƠNG TRƯỜNG ĐẠI HỌC CÔNG NGHIỆP HÀ NỘI KHOA CƠ KHÍ ĐỒ ÁN MÔN HỌC KỸ THUẬT XUNG SỐ SỬ DỤNG BỘ MUX THIẾT KẾ MẠCH TẠO VÀ KIỂM TRA CHẴN CÁC CHUỖI DỮ LIỆU BIT GVHD: Ths Hà Thị Phương Nhóm: Lớp, Khóa: 20231FE6021002 K15 Sinh viên: Ma Văn Hùng - 2020604080 Lê Thị Phương Huệ - 2020405498 Cao Phan Lương - 2020605618 HÀ NỘI, 25 THÁNG 12 NĂM 2023 LỜI CẢM ƠN Lời đầu tiên, em xin gửi lời cám ơn chân thành đến cô Hà Thị Phương, người dành thời gian kiến thức tận tâm để hỗ trợ hướng dẫn em q trình hồn thành cơng việc thiết kế mạch Sự giúp đỡ cô không giúp em nắm vững kiến thức mà tạo điều kiện thuận lợi để em phát triển kỹ kiến thức cá nhân Cơ khơng ngừng khích lệ hỗ trợ em vượt qua thách thức q trình học tập nghiên cứu Nhờ có hướng dẫn động viên từ cô, em hồn thành cơng việc thiết kế mạch với hiệu suất tốt Em biết ơn với tình cảm nhiệt huyết mà Hà Thị Phương đồng thời cám ơn quý thầy bạn phần giúp nhóm hồn thành đề tài Trong đề tài không tránh khỏi thiếu sót mong góp ý bạn để đề tài hoàn thiện Hà nội, ngày 25 tháng 12 năm 2023 Nhóm TĨM TẮT ĐỒ ÁN Đồ án trình bày “Sử dụng MUX thiết kế mạch tạo kiểm tra chẵn cho chuỗi liệu bit” Tập trung vào việc áp dụng Multiplexer (MUX) việc tạo bit chẵn từ dãy bit đầu vào Trong ngữ cảnh này, MUX đóng vai trị quan trọng việc lựa chọn kết hợp tín hiệu đầu vào theo điều kiện kiểm soát cụ thể Đề tài bao gồm việc nghiên cứu cách thức hoạt động MUX, ứng dụng MUX việc xử lý liệu số, cách mà MUX sử dụng để tạo bit chẵn từ dãy bit đầu vào Nó xoay quanh việc phân tích, thiết kế, triển khai mạch logic sử dụng MUX nhằm tạo mơ hình ứng dụng cụ thể Các nghiên cứu dự án liên quan đến đề tài đặt vấn đề cải thiện hiệu suất, tối ưu hóa mạch logic, tích hợp mạch, tính ứng dụng hệ thống số phức tạp Đồng thời, đề tài sâu vào việc phân tích ứng dụng mạch tạo bit chẵn ngữ cảnh ứng dụng cụ thể lĩnh vực kỹ thuật điện tử, viễn thơng, máy tính MỤC LỤC CHƯƠNG MỞ ĐẦU 1.1 Tổng quan 1.2 Nhiệm vụ đề tài 1.3 Phân chia cơng việc nhóm CHƯƠNG LÝ THUYẾT 2.1 Bộ dồn kênh MUX 2.1 Mạch tạo Bit Parity chẵn 2.1.1 nguyên lý tạo Bit Parity chẵn 2.1.2 Cách kết nối MUX 2.1.3 Cấu tạo mạch tạo bit chẵn 10 2.2 Mạch kiểm tra chuỗi liệu chẵn 11 2.2.1 Nguyên lý mạch kiểm tra chẵn 11 2.2.2 Cách kết nối MUX để tạo mạch 13 2.2.3 Cấu tạo mạch kiểm tra bit chẵn 13 CHƯƠNG THIẾT KẾ, MÔ PHỎNG VÀ THỰC HIỆN PHẦN CỨNG 15 3.1 Yêu cầu thiết kế 15 3.2 Phân tích thiết kế 15 3.2.1 Tạo tín hiệu đầu vào 15 3.2.2 Tạo nguồn ổn định 5V 16 3.2.3 Báo tín hiệu 16 3.3 Thiết kế mạch nguyên lý 17 3.4 Mô mạch phần mềm Proteus 20 3.5 Thiết kế mạch In phần mềm Altium 21 CHƯƠNG KẾT QUẢ THỰC HIỆN 22 4.1 Đo đạc kiểm nghiệm mạch sau hoàn thiện 22 4.1.1 Cách thức đo đạc 22 4.1.2 Tiến hành đo kiểm nghiệm 22 4.2 Kết luận sau đo đạc 23 4.2.1 Trước cắm điện cho hoạt động 23 4.2.2 Sau cắm điện 23 4.3 Đánh giá kết làm việc nhóm 24 CHƯƠNG KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN 25 5.1 Kết luận: 25 5.2 Phương hướng phát triển cho đề tài 25 CHƯƠNG TÀI LIỆU THAM KHẢO 26 DANH MỤC HÌNH ẢNH Hình 1: Sơ đồ khối mạch MUX Hình ghép hai MUX -1 Hình ghép MUX -1 MUX 4-1 Hình Mắc cơng tắc theo cách 15 Hình Mắc cơng tắc theo cách 15 Hình Nguyên lý mạch nguồn 5V sử dụng IC 7805 16 Hình Bật đèn led cách nối đất 16 Hình Bật đèn led cách nối nguồn 16 Hình Nguyên lý mạch tạo bit chẵn .17 Hình 10 Nguyên lý mạch kiểm tra chẵn .18 Hình 11 Mạch tạo liệu đầu vào .19 Hình 12 Mạch hiển thị LED 19 Hình 13 Mô mạch tạo kiểm tra với liệu vào 10000 .20 Hình 14 Mơ mạch tạo kiểm tra với liệu vào 10100 .20 Hình 15 Mơ mạch tạo kiểm tra với liệu bị lỗi bit S4 .21 Hình 16 Mạch In thiết kế phần mềm ALTIUM 21 Hình 17 Mạch điện mặt trước .22 Hình 20 Kết đo điện áp đầu vào IC .24 DANH MỤC BẢNG BIỂU Bảng Phân cơng nghiệm vụ, cơng việc thời gian hồn thành Bảng 2: Bảng trạng thái mạch tạo bit chẵn sử dụng MUX cho buỗi bit Bảng Bảng trạng thái S0 S1 S2 điều khiển MUX 8-1 10 Bảng Nguyên lý tạo bit chẵn từ bit S3, S4 đầu mạch tạo chẵn 10 Bảng Bảng trạng thái mạch kiểm tra bit chẵn 11 Bảng Bảng chân lý tạo bit chẵn từ bit S3, S4, P .14 Bảng Bảng chân lý tạo Bit chẵn từ đầu mạch tạo chẵn .14 Bảng Thông số thu đo đạc 22 Bảng Đánh giá chất lượng hoạt động nhóm theo thành viên .24 CHƯƠNG MỞ ĐẦU 1.1 Tổng quan Trong lĩnh vực kỹ thuật số, thiết kế mạch tạo bit chẵn phản ánh khía cạnh quan trọng việc đảm bảo tính tồn vẹn liệu độ tin cậy hệ thống thông tin Nguyên lý mạch tạo bit chẵn thêm bit vào cuối chuỗi bit định để làm cho tổng số bit chẵn định có giá trị chẵn Điều thường thực thông qua cấu trúc logic, cổng XOR, để xác định số lượng bit hai trạng thái - thường bit '0' '1' - chuỗi liệu sau thêm 'bit chẵn' thích hợp Những ưu điểm mạch tạo bit chẵn bàn cãi: cung cấp cách đơn giản hiệu để tăng cường độ tin cậy mà không yêu cầu nhiều nguồn lực bổ sung Chúng cung cấp cho nhà thiết kế linh động việc tích hợp với hệ thống kỹ thuật số khác mà khơng gặp q nhiều rắc rối tương thích hay cấu hình Tuy vậy, mạch tạo bit chẵn có nhược điểm Ví dụ, có khả phát lỗi đơn giản bit bị thay đổi từ '0' thành '1' ngược lại phát lỗi phức tạp lỗi xảy theo mẫu Do đó, số ứng dụng cần độ tin cậy cao, việc sử dụng mạch tạo bit chẵn phải kết hợp với phương pháp 1.2 Nhiệm vụ đề tài - Phân tích Đầu Vào liệu: Xác định chuỗi liệu bit đầu vào - Xác định Bit Chẵn: Tính toán bit chẵn cho chuỗi bit đầu vào - Thiết kế Mạch sử dụng MUX: tính tốn tạo bit chẵn cho chuỗi liệu bit đầu vào với MUX Bằng cách kiểm tra bit lẻ thêm “1” để dãy bit chẵn Và ngược lại “0” chuỗi bit chẵn - Thiết lập Tín hiệu Điều khiển (Select Lines): Xác định thiết lập tín hiệu điều khiển cho MUX - Kiểm tra Debug Mạch: Sau mạch thiết kế, cần phải thực kiểm tra để đảm bảo hoạt động hợp lý - Xác minh Chức Kiểm Tra: Kiểm tra xem mạch đắn nhận diện trạng thái chẵn liệu bit (tức là, có tổng số bit '1' chẵn hay khơng) 1.3 Phân chia cơng việc nhóm Bảng Phân công nghiệm vụ, công việc thời gian hồn thành STT Cơng việc Tìm hiểu nơi dung kiến thức 10 11 12 Phân tích nguyên lý mạch tạo bit chẵn Phân tích nguyên lý mạch kiểm tra Thiết kế Mô phần mềm Proteus Thiết kế mạch phần mềm Altium Tìm mua linh kiện In mạch ăn mịn Phíp đồng Lắp ráp linh kiện hoàn thiện mạch In Kiểm tra lỗi mạch Sửa lại mạch phần mềm Altium In mạch ăn mịn Phíp đồng Lắp ráp linh kiện hồn thiện mạch In Ngày Ngày Trạng bắt kết thái đầu thúc Người thực Huệ, Hoàn 21/11 25/11 Lương, thành Hùng Hoàn 25/11 26/11 Huệ thành Hoàn 25/11 26/11 Lương thành 26/11 28/11 Hoàn thành Hoàn thành Hoàn 31/11 31/11 thành Hoàn 31/11 5/12 thành Hoàn 5/12 10/12 thành 28/11 30/11 10/12 15/12 15/12 16/12 16/12 18/12 18/12 20/12 13 Viết báo cáo 15/12 25/12 14 Thiết kế Slide thuyết trình 17/12 25/12 Hoàn thành Hoàn thành Hoàn thành Hoàn thành Hoàn thành Hoàn thành Hùng Hùng Lương Huệ Hùng Hùng Ghi Thống ý kiến Đưa phương pháp Đưa phương pháp Mô chạy ổn định Làm vội nên thiết kế sai phần tạo liệu Mua thiếu nên phải mua nhiều lần Mạch ăn mòn nhiều lỗi Mạch chạy bị sai kết Mạch bị lỗi không nối đất cho đầu vào IC Hùng Sửa mô lại Huệ Làm lại mạch Hùng Huệ Trễ deadline Lương Trễ deadline CHƯƠNG LÝ THUYẾT 2.1 Bộ dồn kênh MUX Bộ ghép kênh mạch có 2n đầu vào biến, n đầu vào điều khiển, đầu vào chọn mạch đầu Tùy theo giá trị n đầu vào điều khiển mà đầu giá trị đầu vào Sơ đồ khối: Hình 1: Sơ đồ khối mạch MUX Nếu giá trị thập phận n đầu vào điều khiển j Y = Xj Phương trình tín hiệu MUX 2n – 𝑌=𝑋 𝐴 𝐴 +𝑋 …𝐴 (𝐴 +𝑋 𝐴 𝐴 𝐴 …𝐴 𝐴 +⋯ …𝐴 𝐴 ) 2.1 Mạch tạo Bit Parity chẵn 2.1.1 nguyên lý tạo Bit Parity chẵn Ta có đầu vào S0, S1, S2, S3, S4 đầu P (P Parity bit Với P bit thêm vào để chuỗi bit liệu ln chẵn) có bảng trạng thái logic sau: Bảng 2: Bảng trạng thái mạch tạo bit chẵn sử dụng MUX cho buỗi bit DEC S4 0 0 S3 0 0 S2 0 0 S1 0 1 S0 1 P 1 7 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 0 0 0 0 0 0 1 1 1 1 1 1 1 1 0 0 1 1 1 1 0 0 0 0 1 1 1 1 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 1 0 1 0 1 1 0 1 0 1 0 Tối thiểu hóa hàm phương pháp đại số ta có: F=P= S4 ⨁ S3 ⨁ S2 ⨁ S1 ⨁ S0 2.1.2 Cách kết nối MUX Từ yêu cầu đề có bit liệu tương ứng với bit để điều khiển MUX Với MUX 8-1 ta có bit điều khiển, ngõ vào ngõ Y 𝑌 Mà ta cần kiểm tra bit liệu nên MUX 8-1 không đủ để thực yêu cầu Nếu ta sử dụng MUX 16-1 với bit điều khiển, 16 bit vào liệu, bit liệu không đủ khả để thực yêu cầu Vì ta cần ghép MUX để thực yêu cầu kiểm tra bit liệu - Ghép MUX 8-1: Với cách ghép ta dùng đầu MUX thứ ghép nối với đầu vào điều khiển MUX thứ hai Từ ta bit điều khiển đầu liệu đầu MUX thứ hai Hình Ghép hai MUX -1 - Ghép MUX 8-1 MUX 4-1: Ở cách ghép ta dùng MUX 8-1 kiểm tra bit liệu sau cho kết chẵn lẻ hai đầu Y 𝑌 Với bit ta lại đưa vào đầu vào liệu MUX 4-1 hai đầu vào điều khiển kết nối với hai bit liệu lại cần kiểm tra Hình Ghép MUX -1 MUX 4-1 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36 37 38 39 40 41 42 43 44 45 46 47 48 49 50 51 0 0 0 0 0 0 0 0 0 0 0 1 1 1 1 1 1 1 1 1 1 0 0 0 1 1 1 1 1 1 1 1 0 0 0 0 0 0 0 0 1 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 0 1 1 1 1 0 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 1 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 1 1 1 1 1 1 1 1 1 1 1 0 1 1 0 1 0 1 0 1 0 1 0 1 0 1 12 1 0 53 1 1 54 1 1 0 55 1 1 1 56 1 0 57 1 0 58 1 1 0 59 1 1 1 60 1 1 0 61 1 1 1 62 1 1 1 63 1 1 1 Từ bảng ta có bit đầu vào tương ứng với 64 giá trị Trong có 32 52 bit tương ứng với có 32 lần mạch kiểm tra lỗi 32 lần kiểm tra khơng lỗi Do khơng thể tối thiểu hóa hàm phương pháp bìa karnaugh nên sử dụng phương pháp đại số để tối thiểu hóa hàm sau: Fe = S0 ⨁ S1 ⨁ S2 ⨁ S3 ⨁ S4 ⨁ P 2.2.2 Cách kết nối MUX để tạo mạch Từ bảng chân lý kiểm tra bit chẵn ta thấy có bit đầu vào điều khiển cho MUX nên ta có ba cách chọn: - Cách một: Chọn hai MUX 8-1 để gộp bit thành bit MUX 4-1 để gộp bit thành bit - Cách hai: nối tiếp MUX 4-1 để tìm liệu có lỗi hay khơng - Cách ba: Sử dụng MUX 16-1 MUX 4-1 Với ba cách chọn IC MUX ta thấy cách hợp lý IC MUX 16-1 thị trường khan nên nhóm chọn cách để làm mạch kiểm tra 2.2.3 Cấu tạo mạch kiểm tra bit chẵn  Xét MUX 8-1 thứ Tương tự phần tạo bit chẵn đầu ba bit liệu đầu vào S3, S1, S0 lẻ Tối thiểu hóa hàm phương pháp đại số ta có: 13 F1= S0 ⨁ S1 ⨁ S2  Xét MUX 8-1 thứ hai Ba liệu đầu vào S3, S4 bit chẵn (P) Bảng Bảng chân lý tạo bit chẵn từ bit S3, S4, P S4 S3 P D0 D1 D2 D3 D4 D5 D6 D7 P Y Y 0 0 X X X X X X X 0 0 X X X X X X X 1 0 X X X X X X X 1 0 1 X X X X X X X 0 1 0 X X X X X X X 1 1 X X X X X X X 0 1 X X X X X X X 0 1 X X X X X X X 1 Tối thiểu hóa hàm phương pháp đại số ta phương trình: F1= S3 ⨁ S4 ⨁ P  Xét MUX 4-1 kiểm tra liệu đầu MUX 8-1 Vì hai MUX 8-1 kiểm tra bit liệu cho đầu số lẻ hai số lẻ kết hợp lại số chẵn Từ yêu cầu ta có bảng chân lý sau: Bảng Bảng chân lý tạo Bit chẵn từ đầu mạch tạo chẵn Y1 Y2 D0 D1 D2 D3 P Y Y 0 1 1 X X X X X X X X X X X X 1 0 1 0 1 14 CHƯƠNG THIẾT KẾ, MÔ PHỎNG VÀ THỰC HIỆN PHẦN CỨNG 3.1 Yêu cầu thiết kế Các yêu cầu cần đặt để thiết kế mạch tạo kiểm tra chuỗi liệu chẵn là: - Phần tạo tín hiệu đầu vào phải đưa lên cao 5V thấp 0V - Thiết kế phần tạo lỗi để kiểm tra xem liệu có với liệu truyền hay không - Bộ Nguồn ổn định để sử dụng không may cấp nguồn cao không bị chập cháy - Đèn báo tín hiệu phải phân chia rõ ràng cụ thể tín hiệu 3.2 Phân tích thiết kế 3.2.1 Tạo tín hiệu đầu vào - Sử dụng chân số (ngoài ) làm chân đầu cơng tắc Hình Mắc cơng tắc theo cách  Với cách mắc công tắc chân cơng tắc kéo xuống đất chân tín hiệu trống bên ngồi từ dễ thiết kế mạch in - Sử dụng chân số (giữa ) làm chân đầu cơng tắc Hình Mắc cơng tắc theo cách  Với cách mắc công tắc kéo xuống 0V chân chân tín hiệu bị kẹp chân công tắc khó thiết kế mạch lớp 15 3.2.2 Tạo nguồn ổn định 5V - Sử dụng IC 7805 Hình Nguyên lý mạch nguồn 5V sử dụng IC 7805  Ưu điểm: có khả chống nhiễu, đòng điện ổn định, điện áp ổn định  Nhược điểm: thiết kế kì cơng, nóng cần tản nhiệt cho IC - Sử dụng nguồn từ sạc điện thoại  Ưu điểm: Cho dòng ổn định 5V, nhỏ gọn, khơng phải thiết kế  Nhược điểm: dịng cho từ 100mA – 2A, khơng có khả chống nhiễu 3.2.3 Báo tín hiệu - Sử dụng đèn Led nhiều màu để phân biệt tín hiệu mạch Với tín hiệu liệu sử dụng Led màu xanh dương để hiển thị Với tín hiệu bit parity sử dụng Led màu vàng để hiển thị tín hiệu lỗi đường truyền mạch sử dụng Led màu đỏ để hiển thị - Nối chân led xng 0V để bật led Hình Bật đèn led cách nối đất - Nối chân led lên nguồn 5V để bật led Hình Bật đèn led cách nối nguồn 16 3.3 Thiết kế mạch nguyên lý Mạch tạo Bit parity chẵn sử dụng MUX 8-1 MUX 4-1 Giải thích nguyên lý hoạt động mạch: - Như phân tích phần nhóm sử dụng cách mắc hai MUX 81 4-1 để thực mạch tạo bit parity chẵn - Bộ MUX 8-1 với tín hiệu đầu vào liệu D0 đến D7 đầu vào điều khiển A,B,C nối với liệu nhập từ công tắc Chân chân Strobe phải nối đất để IC hoạt động Đầu Y cho tín hiệu 5V bit đầu vào lẻ - Bộ MUX 4-1 với đầu vào liệu lấy từ đầu đầu đảo MUX 8-1 đầu vào điều khiển bit lại S3 S3 Tương tự phải đặt chân xuống mức thấp để IC hoạt động Đầu Y1 IC MUX 4-1 cho tín hiệu 0V bit liệu chẵn Hình Nguyên lý mạch tạo bit chẵn 17 Mạch kiểm tra bit nhận từ mạch tạo Giải thích nguyên lý hoạt động mạch: - Hai MUX 8-1 thực chức kiểm tra xem bit có chẵn hay khơng Nếu lẻ đầu Y MUX xuất giá trị 5V Lấy hai đầu MUX 8-1 làm đầu vào MUX 4-1 cho giá trị 0V bit đầu vào điều khiển lẻ  Nếu trình nhận bị lỗi (mạch nhận bit lẻ) đầu Y cho giá trị 0V báo hiệu liệu truyền bị lỗi Hình 10 Nguyên lý mạch kiểm tra chẵn Mạch tạo liệu đầu vào Giải thích nguyên lý hoạt động mạch: - Sử dụng công tắc bật tắt chân để tạo điện áp 5V 0V đầu Nếu công tăc bật nối với nguồn 5V qua điện trở 4,7k để hạ dịng (Tắt đèn led) Nếu cơng tắc tắt nối với 0V (Bật đèn led) 18 Hình 11 Mạch tạo liệu đầu vào Mạch hiển thị liệu nhập vào xuất ra: Giải thích nguyên lý hoạt động mạch: - Mỗi đèn Led sử dụng điện trở 560 ôm để hạn dòng cho Led Để đèn led hoạt động bền bỉ Và để bật đèn led ta cần nôi đầu âm đèn led với 0V để đèn Led sáng Hình 12 Mạch hiển thị LED 19 3.4 Mơ mạch phần mềm Proteus Mô với đầu vào liệu 10000 Hình 13 Mơ mạch tạo kiểm tra với liệu vào 10000 Mô với đầu vào liệu 10100 Hình 14 Mô mạch tạo kiểm tra với liệu vào 10100 20 Mô với đầu vào liệu 11111 đầu bị lỗi bit Hình 15 Mơ mạch tạo kiểm tra với liệu bị lỗi bit S4 3.5 Thiết kế mạch In phần mềm Altium Hình 16 Mạch In thiết kế phần mềm ALTIUM 21 CHƯƠNG KẾT QUẢ THỰC HIỆN 4.1 Đo đạc kiểm nghiệm mạch sau hoàn thiện 4.1.1 Cách thức đo đạc - Thiết bị sử dụng: đồng hồ vạn - Phương thức đo đạc:  Đo chân có đường hở mạch, chập mạch dây với hay không (sử dụng chức đo thông)  Đo điện áp (tín hiệu) đầu vào IC có hay không volt (sử dụng chức đo điện áp)  Đo điện áp (tín hiệu) đầu mạch xem có hay khơng mức (sử dụng chức đo điện áp)  Đo dịng điện hoạt động mạch có lớn khơng (sử dụng chức đo dòng) 4.1.2 Tiến hành đo kiểm nghiệm Hình 18 Mạch điện mặt sau Hình 17 Mạch điện mặt trước Bảng Thông số thu đo đạc Stt Giá trị cần đo Điện áp đầu vào Điện áp đầu vào IC Điện áp Led hoạt động Điện áp đầu IC Dòng điện mạch Tên chức đồng hồ đo điện áp đo điện áp đo điện áp đo điện áp đo dòng Giá trị Đơn vị 4.97 2.2 4.53 0.02 V V V V A 22 4.2 Kết luận sau đo đạc 4.2.1 Trước cắm điện cho hoạt động Sau hồn thành việc ăn mịn mạch in, ta cần phải kiểm tra xem dây có bị chập, bị đứt đâu không Bằng cách sử dụng đồng hồ đo điện chế độ đo thông mạch dị tìm dây Kết có dây bị chập đường mạch lúc ăn mòn bị dính vào dây bị hở Khắc phục cách sử dụng máy hàn hàn lại vị trí bị đứt dùng vật nhọn loại bỏ vị trí dây bị dính vào 4.2.2 Sau cắm điện Đo điện áp đầu nguồn điện sau mạch nguồn ổn áp 5V Hoàn toàn với giá trị thiết kế Các đèn LED tắt cách từ từ sáng từ từ sau cấp nguồn rút nguồn Cho thấy mạch nguồn hoạt động ổn định Hình 19 Test với tín hiệu 11111 lỗi bit S0 Đo điện áp chân đầu vào IC kết 4.96 từ ta thấy đầu vào hồn tồn phù hợp với thông số nhà sản xuất đưa Đảm bảo IC hoạt động chức đưa 23 Hình 2018 Kết đo điện áp đầu vào IC 4.3 Đánh giá kết làm việc nhóm Trong q trình làm việc nhóm khơng tránh khỏi việc phân công công việc không đồng Các thành viên kết hợp chưa ăn ý, trễ hạn nhiều yếu tố khách quan lẫn chủ quan, nhiên công việc hoàn thành tốt Sau bảng kết công công việc thành viên, điểm đánh giá tiêu chí hoạt động nhóm Điểm từ 1-5 theo hoạt động thành viên nhóm Bảng Đánh giá chất lượng hoạt động nhóm theo thành viên Tiêu chí Hùng Huệ Lương Đóng góp ý kiến, sáng kiến hay 3 5 Phối hợp, hợp tác thành viên 4 Tham gia buổi họp nhóm 5 5 4 Hoàn thành nhiệm vụ hạn 5 Chất lượng công việc thực 3 Tổng điểm 33 28 28 Lắng nghe tôn trọng ý kiến người khác Chia sẻ với nhóm cơng việc thực 24 CHƯƠNG KẾT LUẬN VÀ HƯỚNG PHÁT TRIỂN 5.1 Kết luận: Mạch tạo bit chẵn sử dụng MUX không cung cấp giải pháp hiệu để đảm bảo tính toàn vẹn liệu truyền qua hệ thống số mà tạo khả ứng dụng rộng lớn danh cho ngành công nghệ điện tử Việc sử dụng MUX làm cốt lõi cho thiết kế mang lại ưu điểm tính linh hoạt khả thích ứng với nhiều tình khác nhau, từ đến nâng cao, qua hỗ trợ rộng rãi cho điều kiện làm việc đa dạng Qua trình phân tích, thiết kế, kiểm tra tối ưu, mạch tạo bit chẵn cho thấy khả tương thích cao dễ dàng việc tích hợp vào hệ thống phức tạp 5.2 Phương hướng phát triển cho đề tài Phương hướng phát triển: Để nâng cao tính ứng dụng hiệu suất mạch tạo bit chẵn sử dụng MUX, tiếp tục nghiên cứu phát triển theo hướng sau: - Tối ưu hóa Hiệu suất: Tiếp tục tối ưu hóa mạch để giảm trễ tăng tốc độ xử lý, đặc biệt ứng dụng yêu cầu xử lý liệu nhanh - Mở Rộng Dữ Liệu Đầu Vào: Mở rộng mạch để xử lý liệu đầu vào với số lượng bit lớn hơn, đáp ứng nhu cầu ứng dụng u cầu bảo mật tính tốn đa dạng - Kiểm tra số lượng bit nhỏ chuỗi để kiểm tra xác Những hướng phát triển giúp nâng cao tính ứng dụng hiệu suất mạch tạo bit chẵn sử dụng MUX, đồng thời mở hội cho việc áp dụng rộng rãi lĩnh vực ứng dụng điện tử khác 25 CHƯƠNG TÀI LIỆU THAM KHẢO [1] L V Thái, N T T Hà N N Anh, Giáo trình Kỹ thuật xung, Khoa học Kỹ thuật, 2013 [2] N T T Hà, L V Thái N N Anh, Giáo trình điện tử số, Khoa học Kỹ thuật, 2013 [3] N N Anh, Giáo trình thực hành kĩ thuật xung - số, Khoa học Kỹ thuật, 2014 [4] T Instruments, "Datasheet SN74HC151-Q1," 2006 [5] T Instruments, "Datasheet Sn74HC153," 2006 [6] H T Phương, Giáo trình Kỹ thuật điện tử, Hà Nội: Thống kê, 2019 [8] N T Vân, Thiết kế Logic mạch số, Khoa học Kỹ thuật, 2005 26

Ngày đăng: 07/01/2024, 16:45

Tài liệu cùng người dùng

Tài liệu liên quan