Thí nghiệm Số-Bài 4: Mạch đếm và thanh ghi pot

16 2.1K 17
Thí nghiệm Số-Bài 4: Mạch đếm và thanh ghi pot

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số Họ tên: Lớp: Nhóm: Bàn số: BÀI 4 MẠCH ĐẾM THANH GHI  MỤC ĐÍCH YÊU CẦU THÍ NGHIỆM Giúp sinh viên bằng thực nghiệm khảo sát : • Tìm hiểu cấu trúc nguyên tắc hoạt động của sơ đồ mạch đếm. • Tìm hiểu hoạt động của bộ đếm 4 bit các sơ đồ ứng dụng. • Tìm hiểu nguyên tắc hoạt động của vi mạch đếm mười với mã BCD. • Tìm hiểu cấu trúc nguyên tắc hoạt động của bộ đếm thuận ngược 8 bit mã BCD  THIẾT BỊ SỬ DỤNG 1. Thiết bò chính cho thực tập điện tử số DTS-21. 2. Dao động ký 3 tia. 3. Khối thí nghiệm DM-208 cho bài thực tập (gắn lên thiết bò chính DTS-21). 4. Dây có chốt cắm hai đầu. PHẦN I : CƠ SỞ LÝ THUYẾT Phần này nhằm tóm lược những vấn đề lý thuyết thật cần thiết phục vụ cho bài thí nghiệm các câu hỏi chuẩn bò để sinh viên phải đọc kỹ trả lời trước ở nhà. • Sinh viên cần xem lại các kiến thức sau: • Mạch đếm nhò phân . Cách thiết kế mạch đếm với số MOD bất kỳ. • Mạch chia tần , mạch đếm vòng. • Mạch đếm thập phân, mạch đếm thuận nghòch. • Thanh ghi, mạch đếm Johnson phương pháp giải mã. I.1. MẠCH ĐẾMMạch đếm là một trong những dạng đơn giản nhất của mạch dãy, một bộ đếm thường được cấu tạo từ 2 hay nhiều phần tử nhớ là các FlipFlop các phần tử tổ hợp, để thay đổi trạng thái của mạch trước đó khi có tác động của xung ở ngõ vào. Khi không có tín hiệu vào đếm, mạch giữ nguyên trạng thái cũ (i→ i), khi có tín hiệu vào đếm, mạch sẽ chuyễn sang trạng thái kế tiếp (i→ i+1), sau K j tín hiệu vào mạch lại quay lại trạng thái ban đầu. ĐH Kỹ Thuật Công Nghệ Trang 47 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số I.2. PHÂN LOẠI BỘ ĐẾM Bộ đếm Theo khả năng lập trình Theo hướng đếm Theo MOD đếm Theo cách làm việc Đếm nghòch Không thể lập trình Có thể lập trình Không đồng bộ MOD<2 n Đếm thuận Đồng bộ (Syn.) MOD 2 n I.2.1 BỘ ĐẾM KHÔNG ĐỒNG BỘ (ASYNCHRONOUS) D D J K CLK D D J K CLK 1 1 D D J K CLK 1 1 D D J K CLK FFD 1 1 FFCFFB FFA 1 1 Hình 4.1. Bộ đếm không đồng bộ dùng JK-FF Lưu ý: J = K = 1 Xung CK chỉ được cấp cho FF-A, vì vậy FF-A sẽ thay đổi trạng thái khi có tác động cạnh xuống của CK. Ngõ ra của FF-A hoạt động như 1 CK của FF-B, vì vậy FF-B sẽ thay đổi trạng thái khi ngõ ra D của FF-A thay đổi từ mức cao xuống mức thấp, tương tự cho FF-C FF-D. Nếu biểu hiện ngõ ra của FF-A, B, C, D bằng số nhò phân, mạch sẽ hoạt động như bộ đếm nhò phân từ 0000 đến 1111 (MOD đếm 2 n ). Nếu trong bộ đếm tồn tại ít nhất một cặp thay đổi trạng thái mà ở đó tất cả các FF không thay đổi trạng thái cùng một lúc thì bộ đếm đó được gọi là bộ đếm không đồng bộ. ¾ Bộ đếm với MOD<2 n . Bộ đếm hình 4.2 bò giới hạn về số MOD đếm (2 N với N là số FF). Bộ đếm trên có thể được biến đổi để MOD đếm <2 N . Một trong các nguyên lý cơ bản được chỉ ra trên hình 4.3. ĐH Kỹ Thuật Công Nghệ Trang 48 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số D D J K CL D D J K CL 1 1 D D J K CL 1 1 1 2 3 000 001 010 011 100 101 110 Hình 4.2 Bộ đếm MOD 6 Trạng thái tạm để xóa bộ đếm 12 pulses 6 8 1 5 input 11 B C 391024 0 NAND output A 71 CL CL D D CL 1 1 Hình 4.3 Bộ đếm MOD = 6 giản đồ xung của mạch ¾ Một số IC đếm bất đồng bộ: • 74LS293: 4 bit counter • 74HC4024: 7 bit counter (CMOS) I.2.2 BỘ ĐẾM ĐỒNG BỘ (SYNCHRONOUS) ¾ Một vấn đề đối với bộ đếm không đồng bộ là việc tích lũy (gia tăng) thời gian trễ của các FF, dẫn đến việc các FF sẽ không thay đổi trạng thái đồng thời với các xung vào. Để tránh tình trạng này, việc sử dụng các bộ đếm đồng bộ (song song) là cần thiết. Ở đó tất cả các FF được tích cực đồng thời với xung CK ở ngõ vào, một vài phần tử được dùng để điều khiển khi nào FF thay đổi khi nào FF vẫn không thay đổi bởi xung clock. ¾ Một số IC đếm đồng bộ chuyên dụng: • 74LS163: synchronous 4-bit counter • 74LS192:synchronous UP/DOWN (đếm BCD) • 74LS193:synchronous UP/DOWN (đếm nhò phân) 1 1 U51A 14093 1 2 3 U49A 5476 4 1 16 15 14 2 3 J CLK K Q Q PRCL U49A 5476 4 1 16 15 14 2 3 J CLK K Q Q PRCL U49A 5476 4 1 16 15 14 2 3 J CLK K Q Q PRCL U50A 4023/FP 1 2 8 9 U49A 5476 4 1 16 15 14 2 3 J CLK K Q Q PRCL input Hình 4.4. Mạch đếm đồng bộ MOD16 ĐH Kỹ Thuật Công Nghệ Trang 49 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số I.2.3 THỜI GIAN TRỄ TRUYỀN ĐẠT XUNG CLOCK. • Ở bộ đếm không đồng bộ khi tính đến thời gian trễ truyền đạt của FF, chu kỳ xung CK cho phép là: pdclock tNT .≥ Với N = số FF, t pd thời gian trễ truyền đạt của FF (propagation delay) Tần số cực đại cho phép: pd tN f . 1 max = • Ở bộ đếm đồng bộ: tổng thời gian trễ = thời gian trễ của 1 FF cộng với thời gian trễ của cổng logic ứng với FF đó: Total delay = t pd(FF) + t pd(logic gate) . ⇒ T clock ≥ Total delay ⇒ )()( max 1 GATELOGICpdFFpd tt f − + = • Bộ đếm lên/xuống (74LS193) Tên chân Mô tả chức năng UP DOWN CLR LOAD A,B,C,D Q A ,Q B ,Q C ,Q D CO(CARRY) BO(BORROW) Ngõ vào CK cho phép đếm lên Ngõ vào CK cho phép đếm xuống Ngõ vào xóa không đồng bộ (Asyn), tích cực mức cao Ngõ vào nạp số đếm bắt đầu từ các chân A, B, C, D. (không đồng bộ) tích cực mức thấp. Ngõ vào data song song Ngõ ra (các Flip-Flop) Giới hạn đếm lên, khi bộ đếm đạt giới hạn trên thì CO tích cực mức thấp Giới hạn đếm xuống, khi bộ đếm đạt giới hạn dưới thì BO tích cực mức thấp 74LS193 15 1 10 9 5 4 11 14 3 2 6 7 12 13 A B C D UP DN LOAD CLR QA QB QC QD CO BO ĐH Kỹ Thuật Công Nghệ Trang 50 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số PHẦN II : TIẾN TRÌNH THÍ NGHIỆM Sau khi đã hiểu kỹ những vấn đề lý thuyết được nhắc lại nhấn mạnh ở PHẦN I, phần này bao gồm trình tự các bước phải tiến hành tại phòng thí nghiệm. II.1. BỘ ĐẾM NHỊ PHÂN DÙNG D _ FF 1. Mảng thí nghiệm : Mảng D 8-1 (Hình 4-1) 2. Cấp nguồn +5V của nguồn DC POWER SUPPLY cho mảng D 8-1. PS2 B TTL LED2 74LS74 PS1 A TTL LED1 74LS74 74LS74 LED0 LED3 74LS74 CLK Clr D Pr Q Q CLK Clr D Pr Q Q CLK Clr D Pr Q Q CLK Clr D Pr Q Q Hình 4.1. Mạch đếm nhò phân dùng 4 D _ FF 3. Thực hiện nối dây (hình 4.1) • Nối các ngõ ra Q A , Q B , Q C , Q D với các LED (nhóm LOGIC INDICATORS) • Nối ngõ vào CK (Input) với công tắc xung PS1 ngõ ra A/TTL • Nối ngõ vào CLR với công tắc xung PS2 ngõ ra B/TTL 4. Các bước thực hiện: a. Khảo sát mạch đếm MOD 2 n dùng D_FF Bước 1: Đặt các ngõ vào CLR ở mức logic [1] Bước 2: Tác động xung CK ở ngõ vào (nhấn PS1), ghi nhận kết quả tại các ngõ ra Q A , Q B , Q C , Q D vào bảng 4.1. Chú ý: Trước khi thực hiện theo bảng 4.1 phải kích (tác động) CLR xóa Bảng 4.1 Ngõ vào Ngõ ra Bước thực hiện CLR CK QD QC QB QA Mã thập phân tương ứng 0 1 ↑ 1 1 ↑ 2 1 ↑ 3 1 ↑ 4 1 ↑ ĐH Kỹ Thuật Công Nghệ Trang 51 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số 5 1 ↑ 6 1 ↑ 7 1 ↑ 8 1 ↑ 9 1 ↑ 10 1 ↑ 11 1 ↑ 12 1 ↑ 13 1 ↑ 14 1 ↑ 15 1 ↑ 16 1 ↑ 17 1 ↑ c. Khảo sát chân CLR Bước 1: Nối ngõ vào CLR với công tắc DS1, đặt các ngõ vào CLR ở mức logic [ 0 ] Bước 2: Tác động xung CK ở ngõ vào (nhấn PS1), Ghi nhận kết quả tại các ngõ ra Q A , Q B , Q C , Q D vào bảng 4.2 Bảng 4.2 CLR CK Q D Q C Q B Q A Mã thập phân tương ứng [ 0 ] ↑ [ 1 ] ↑ d. Khảo sát chân PR Bước 1: Nối ngõ vào PR của D_FF 1A, 1B, 2A, 2B với các công tắc LS1, LS2, LS3, LS4 • Xét PR của FF 1A Bước 2: Đặt ngõ vào PR của D_FF 1A = [0], ngõ vào PR các FF khác = [1] Bước 3: Tác động xung CK ở ngõ vào (nhấn PS1), Ghi nhận kết quả tại các ngõ ra Q A , Q B , Q C , Q D vào bảng 4.3 Bảng 4.3 CLR PR (1A) PR (1B) PR (2A) PR (2B) CK Q D Q C Q B Q A Mã thập phân tương ứng [ 1 ] [ 0 ] [ 1 ] [ 1 ] [ 1 ] ↑ • Xét PR của FF 1B Bước 4: Đặt ngõ vào PR của D_FF 1B = [0], ngõ vào PR các FF khác = [1] ĐH Kỹ Thuật Công Nghệ Trang 52 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số Bước 5: Tác động xung CK ở ngõ vào (nhấn PS1), Ghi nhận kết quả tại các ngõ ra Q A , Q B , Q C , Q D vào bảng 4.4 Bảng 4.4 CLR PR (1A) PR (1B) PR (2A) PR (2B) CK Q D Q C Q B Q A Mã thập phân tương ứng [ 1 ] [ 1 ] [ 0 ] [ 1 ] [ 1 ] ↑ [ 1 ] [ 1 ] [ 0 ] [ 1 ] [ 1 ] ↑ [ 1 ] [ 1 ] [ 0 ] [ 1 ] [ 1 ] ↑ • Xét PR của FF 2A Bước 6: Đặt ngõ vào PR của D_FF 2A = [0], ngõ vào PR các FF khác = [1] Bước 7: Tác động xung CK ở ngõ vào (nhấn PS1), Ghi nhận kết quả tại các ngõ ra Q A , Q B , Q C , Q D vào bảng 4.5 Bảng 4.5 CLR PR (1A) PR (1B) PR (2A) PR (2B) CK Q D Q C Q B Q A Mã thập phân tương ứng [ 1 ] [ 1 ] [ 1 ] [ 0 ] [ 1 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 0 ] [ 1 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 0 ] [ 1 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 0 ] [ 1 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 0 ] [ 1 ] ↑ • Xét PR của FF 2B Bước 8: Đặt ngõ vào PR của D_FF 2B = [0], ngõ vào PR các FF khác = [1] Bước 9: Tác động xung CK ở ngõ vào (nhấn PS1), Ghi nhận kết quả tại các ngõ ra Q A , Q B , Q C , Q D vào bảng 4.6 Bảng 4.6 CLR PR (1A) PR (1B) PR (2A) PR (2B) CK Q D Q C Q B Q A Mã thập phân tương ứng [ 1 ] [ 1 ] [ 1 ] [ 1 ] [ 0 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 1 ] [ 0 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 1 ] [ 0 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 1 ] [ 0 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 1 ] [ 0 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 1 ] [ 0 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 1 ] [ 0 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 1 ] [ 0 ] ↑ [ 1 ] [ 1 ] [ 1 ] [ 1 ] [ 0 ] ↑ II.2. BỘ ĐẾM 4 BIT, BỘ CHIA 1. Mảng thí nghiệm : Mảng D 8-2 (Hình 4-2) 2. Cấp nguồn +5V của nguồn DC POWER SUPPLY cho mảng D 8-2. ĐH Kỹ Thuật Công Nghệ Trang 53 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số 2 D U42 74LS163 3 4 5 6 7 10 2 9 1 14 13 12 11 15 A B C D ENP ENT CLK LOAD CLR QA QB QC QD RCO 1 PS1 A TTL A 4 B C 8 TTL DS10 1 B 2C 74LS12 9 10 11 8 A LED2 2B 74LS12 3 4 5 6 LED3 C LED0 +5V LED1 Hình 4.2. Bộ đếm dùng 74163 3. Thực hiện nối dây (hình 4.2) • Nối các ngõ ra Q A , Q B , Q C , Q D với các LED (nhóm LOGIC INDICATORS) • Nối ngõ vào CK (Input) với công tắc xung PS1 ngõ ra A/TTL • Nối ngõ vào CLR (CLR ngã vào của cổng NAND 2C) với công tắc DS1 vò trí TTL 4. Các bước thực hiện: a. Khảo sát mạch đếm MOD 2 n dùng vi mch 74LS163 Bước 1: Đặt chân CLR = [0] (CLR ngã vào của cổng NAND 2C) Bước 2: Kích xung vào ngõ CK (nhấn PS1), Xác đònh trạng thái lối ra QA, QB, QC, QD. Ghi kết quả vào bảng 4.7. Bảng 4.7 Ngõ vào Ngõ ra Bước thực hiện CLR CK Q D Q C Q B Q A Mã thập phân tương ứng 1 0 ↑ 2 0 ↑ 3 0 ↑ 4 0 ↑ 5 0 ↑ 6 0 ↑ 7 0 ↑ 8 0 ↑ 9 0 ↑ 10 0 ↑ ĐH Kỹ Thuật Công Nghệ Trang 54 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số 11 0 ↑ 12 0 ↑ 13 0 ↑ 14 0 ↑ 15 0 ↑ 16 0 ↑ 17 0 ↑ b. Khảo sát ngõ vào xóa CLR của vi mạch 74LS163 Bước 1: Đặt CLR = [1] (CLR ngã vào của cổng NAND 2C) không tác động CK. Quan sát trạng thái ngõ ra QA, QB, QC, QD. Ghi vào bảng 4.8. Bước 2: Cấp CK (nhấn PS1), quan sát sự thay đổi ở ngõ ra, Ghi kết quả vào bảng 4.8. Bảng 4.8 Trạng thái CLR CK Q D Q C Q B Q A 1 1 Không tác động Tác động c. Khảo sát mạch đếm MOD < 2 n (MOD 6)_dùng vi mạch 74LS163 Bước 1: Chuyển ngõ vào IN (CK) đến vò trí 1Hz/TTL của bộ STANDARD GENERATOR. Bước 2: Nối 1 với A, nối 4 với C nối J1. Đặt CLR = [ 0] (CLR ngã vào của cổng NAND 2C). Giử nguyên các ngõ ra. Quan sát trạng thái các LED. Ghi kết quả vào bảng 4.9 Bảng 4.9 Ngõ vào Ngõ ra Bước thực hiện CLR CK Q D Q C Q B Q A Mã thập phân tương ứng 1 0 ↑ 2 0 ↑ 3 0 ↑ 4 0 ↑ 5 0 ↑ 6 0 ↑ 7 0 ↑ 8 0 ↑ II.3. BỘ ĐẾM MƯỜI (THẬP PHÂN) 1. Mảng thí nghiệm : Mảng D 8-3 (Hình 4-3) ĐH Kỹ Thuật Công Nghệ Trang 55 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số 2. Cấp nguồn +5V của nguồn DC POWER SUPPLY cho mảng D 8-3. LED1 PS1 A TT Hình 4.3. Mạch đếm 10 dùng vi mạch 74LS90 L U44 74LS90 14 1 2 3 6 7 12 9 8 11 A B R0(1) R0(2) R9(1) R9(2) QA QB QC QD LED3 1A 74LS00 1 2 3 TTL DS1 0 1 PS2 B TTL LED2 LED0 Digital Display 1 2 4 8 RESET START 3. Thực hiện nối dây (hình 4.3) • Nối các ngõ ra A, B, C, D với các LED (nhóm LOGIC INDICATORS) • Nối ngõ vào IN/CK với công tắc xung PS1 ngõ ra A/TTL • Nối ngõ vào START với công tắc DS1 vò trí TTL • Nối ngõ vào RESET với công tắc xung PS2 ngõ ra B/TTL 4. Các bước thực hiện: a. Khảo sát mạch đếm MOD 10 dùng vi mạch 74LS90 Bước 1: Đặt ngõ vào START (DS1) theo bảng 4.10 Bước 2: Tác động xung kích CK cho mạch đếm 74LS90, Quan sát ghi trạng thái các LED sau mỗi lần tác động CK vào bảng 4.10 Bảng 4.10 Ngõ vào Ngõ ra Bước thực hiện START IN Q D Q C Q B Q A Mã thập phân tương ứng 1 0 ↑ 2 1 ↑ 3 1 ↑ 4 1 ↑ 5 1 ↑ 6 1 ↑ 7 1 ↑ 8 1 ↑ 9 1 ↑ 10 1 ↑ 11 1 ↑ 12 1 ↑ Bước 3: Tác động xung RESET cho mạch đếm 74LS90, quan sát trạng thái ngõ ra, ghi kết quả vào bảng 4.11 ĐH Kỹ Thuật Công Nghệ Trang 56 [...]... đưa bộ đếm về cấu hình đếm nghòch d Đếm xuống với số đếm đặt trước (đếm từ 11 xuống 00) • Đặt số đếm bắt đầu 11 (công tắc cơ khí TS1=1, TS2=1 ) • Đặt trạng thái START = [0] • Tác động ngõ vào CLR = [ 1], • Tác động xung CK để xóa bộ đếm • Tác động ngõ vào CLR = [ 0] • Tác động ngõ vào LOAD= [0] để nạp số đếm trước từ công tắc cơ khí vào bộ đếm • Tác động ngõ vào LOAD= [1] để chuyển về chế độ đếm • Tác... DISPLAY b Đếm lên với số đếm đặt trước (đếm từ 89 đến 99) • Đặt số đếm bắt đầu 89 (công tắc cơ khí TS1=9, TS2=8 ) • Đặt trạng thái START = [0] • Tác động ngõ vào CLR = [ 1], • Tác động xung CK để xóa bộ đếm • Tác động ngõ vào CLR = [ 0] • Tác động ngõ vào LOAD= [0] để nạp số đếm trước từ công tắc cơ khí vào bộ đếm • Tác động ngõ vào LOAD= [1] để chuyển IC về chế độ đếm • Tác động xung CK để bắt đầu đếm •... đầu đếm xuống • Quan sát ngõ ra, ghi nhận kết qua vào bảng 4.13 ĐH Kỹ Thuật Công Nghệ Trang 59 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số Bảng 4.13 START 0 0 0 0 0 0 0 0 0 0 0 0 0 ĐIỀU KHIỂN CLEAR LOAD 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 CHỈ THỊ LED 7 ĐOẠN LED 7 ĐOẠN 2 LED 7 ĐOẠN 1 1 1 CK/IN ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ II.5 BỘ ĐẾM JOHNSON MÃ HOÁ BÀN PHÍM 1 Mảng thí nghiệm. .. Bộ đếm thuận – ngược dùng 74LS192 3 Các bước thực hiện: a Bộ đếm thuận (đếm lên) • Nối J1, J3, J5, J7 để đưa bộ đếm về cấu hình đếm thuận ĐH Kỹ Thuật Công Nghệ Trang 57 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số • Nối chốt COMM của công tắc cơ khí TS1, TS2 với chốt TTL của DS3, DS4 • Nối các ngõ vào đặt trước 1A, 1B, 1C, 1D với chốt 1, 2, 4, 8 của công tắc cơ khí TS1 • Nối các ngõ vào...Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số Bảng 4.11 Bước thực hiện 1 Ngõ vào RESET [1] Mã thập phân tương ứng Ngõ ra QD QC QB QA II.4 BỘ ĐẾM THUẬN - NGHỊCH 1 Mảng thí nghiệm : Mảng D 8-4 (Hình 4-4) 2 Cấp nguồn +5V của nguồn DC POWER SUPPLY cho mảng D 8-4 9 10 11 8 1C LS1 START 1... Quan sát ngõ ra, ghi nhận kết quả vào bảng 4.12 ĐH Kỹ Thuật Công Nghệ Trang 58 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số Bảng 4.12 START 0 0 0 0 0 0 0 0 0 0 0 0 0 0 ĐIỀU KHIỂN CLEAR LOAD 0 0 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 0 1 CK/IN CHỈ THỊ LED 7 ĐOẠN LED 7 ĐOẠN 2 LED 7 ĐOẠN 1 8 9 ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ ↑ c Bộ đếm nghòch (đếm xuống) • Vẫn giữ nguyên mạch, chỉ ngắt J1,... LOAD QC QD A B BO C IC3 CO D LED2 3 2 6 7 LED3 13 12 74LS192 RESET Hình 4.5 - Mạch đếm Johnson bộ mã hoá bàn phím 3 Các bước thực hiện: • Nối ngõ vào RESET với công tắc PS2 ngõ ra B/TTL • Nối ngõ vào CK/IN với công tắc xung PS1 chốt A /TTL ĐH Kỹ Thuật Công Nghệ Trang 60 Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số • Nối lối ra QA, QB, QC, QD của IC3, lối ra 0 đến 9 của IC1 với bộ chỉ... hiện nhấn giữ từng SW trong 1 khoảng thời gian, quan sát ngõ ra, ghi nhận kết quả vào bảng 4.16 Bảng 4.16 CP1 0 0 0 0 Các ngõ vào CK CLR ↑ 1 ↑ 0 ↑ 0 ↑ 0 ĐH Kỹ Thuật Công Nghệ Nhấn SW 0 0 1 2 QD Các ngõ ra QC QB QA Trang 61 Phòng Thí Nghiệm Điện Tử Xung – Số 0 0 0 0 0 0 0 ↑ ↑ ↑ ↑ ↑ ↑ ↑ ĐH Kỹ Thuật Công Nghệ 0 0 0 0 0 0 0 Tài liệu thí nghiệm số 3 4 5 6 7 8 9 Trang 62 ... sát ngõ ra, ghi nhận kết quả vào bảng 4.14 Ngõ vào CP1 CK ↑ 1 ↑ 1 • 0 2 6 7 8 9 Nối J2, tác động xung CK để bắt đầu đếm vòng, quan sát ngõ ra, ghi nhận kết quả vào bảng 4.15 Ngõ vào CP1 CK CLR ↑ 0 1 ↑ 0 0 ↑ 0 0 ↑ 0 0 ↑ 0 0 ↑ 0 0 ↑ 0 0 ↑ 0 0 ↑ 0 0 ↑ 0 0 ↑ 0 0 ↑ 0 0 • 1 Bảng 4.14 Các ngõ ra 3 4 5 0 1 Bảng 4.15 Các ngõ ra 2 3 4 5 6 7 8 9 Nối thứ tự từng SW với các ngõ ra của IC1, thực hiện nhấn giữ từng... với chốt 1, 2, 4, 8 của công tắc cơ khí TS1 • Nối các ngõ vào đặt trước 2A, 2B, 2C, 2D với chốt 1, 2, 4, 8 của công tắc cơ khí TS2 • Nối ngõ vào START với công tắc LS1 • Nối ngõ vào LOAD với công tắc LS2 • Nối ngõ vào CLEAR với công tắc DS1 ngõ ra TTL • Nối ngõ vào CK/IN với công tắc xung PS1 chốt A /TTL • • • • • Nối lối ra QA, QB, QC, QD với bộ chỉ thò DIGITAL DISPLAY của thiết bò chính DTS-21 QA1 . Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số Họ tên: Lớp: Nhóm: Bàn số: BÀI 4 MẠCH ĐẾM VÀ THANH GHI  MỤC ĐÍCH VÀ YÊU CẦU THÍ NGHIỆM Giúp sinh viên bằng thực nghiệm khảo. số MOD bất kỳ. • Mạch chia tần , mạch đếm vòng. • Mạch đếm thập phân, mạch đếm thuận nghòch. • Thanh ghi, mạch đếm Johnson và phương pháp giải mã. I.1. MẠCH ĐẾM • Mạch đếm là một trong những. Phòng Thí Nghiệm Điện Tử Xung – Số Tài liệu thí nghiệm số I.2. PHÂN LOẠI BỘ ĐẾM Bộ đếm Theo khả năng lập trình Theo hướng đếm Theo MOD đếm Theo cách làm việc Đếm nghòch

Ngày đăng: 18/06/2014, 11:20

Từ khóa liên quan

Mục lục

  • BÀI 4

    • MẠCH ĐẾM VÀ THANH GHI

      • MỤC ĐÍCH VÀ YÊU CẦU THÍ NGHIỆM

        • THIẾT BỊ SỬ DỤNG

        • PHẦN II : TIẾN TRÌNH THÍ NGHIỆM

          • II.1. BỘ ĐẾM NHỊ PHÂN DÙNG D _ FF

            • Bảng 4.1

            • II.2. BỘ ĐẾM 4 BIT, BỘ CHIA

              • Trạng thái CLR

              • II.3. BỘ ĐẾM MƯỜI (THẬP PHÂN)

              • II.4. BỘ ĐẾM THUẬN - NGHỊCH

              • II.5. BỘ ĐẾM JOHNSON và MÃ HOÁ BÀN PHÍM

Tài liệu cùng người dùng

  • Đang cập nhật ...

Tài liệu liên quan