Giáo trình Vi xử lý (Nghề Điện tử công nghiệp CĐTC)

191 2 0
Giáo trình Vi xử lý (Nghề Điện tử công nghiệp  CĐTC)

Đang tải... (xem toàn văn)

Tài liệu hạn chế xem trước, để xem đầy đủ mời bạn chọn Tải xuống

Thông tin tài liệu

UỶ BAN NHÂN DÂN TỈNH ĐỒNG THÁP TRƯỜNG CAO ĐẲNG NGHỀ ĐỒNG THÁP GIÁO TRÌNH MƠ ĐUN: VI XỬ LÝ NG NH NGHỀ: ĐI N TỬ CƠNG NGHI P TRÌNH ĐỘ: CAO ĐẲNG, TRUNG CẤP (Ban hành kèm theo Quyết định Số: /QĐ-TCĐNĐT ngày 13 tháng năm 2017 Hiệu trưởng Trường Cao đẳng Nghề Đồng Tháp) Đồng Tháp, năm 2017 TUYÊN BỐ BẢN QUYỀN Tài liệu thuộc loại sách giáo trình nên nguồn thơng tin phép dùng ngun trích dùng cho mục đích đào tạo tham khảo Mọi mục đích khác mang tính lệch lạc sử dụng với mục đích kinh doanh thiếu lành mạnh bị nghiêm cấm LỜI GIỚI THI U Để thực biên soạn giáo trình đào tạo nghề Điện tử cơng nghiệp trình độ Cao Đẳng Nghề Trung Cấp Nghề, giáo trình Vi xử lý giáo trình mơn học đào tạo chun ngành biên soạn theo nội dung chương trình khung Bộ Lao động Thương binh Xã hội Tổng cục Dạy Nghề phê duyệt Nội dung biên soạn ngắn gọn, dễ hiểu, tích hợp kiến thức kỹ chặt chẽ với nhau, logíc Khi biên soạn, nhóm biên soạn cố gắng cập nhật kiến thức có liên quan đến nội dung chương trình đào tạo phù hợp với mục tiêu đào tạo, nội dung lý thuyết thực hành biên soạn gắn với nhu cầu thực tế sản xuất đồng thời có tính thực tiễn cao Nội dung giáo trình biên soạn với dung lượng thời gian đào tạo 120 gồm có: Bài 24-01: Tổng quan hệ vi xử lý Bài 24-02: Các đơn vi vi xử lý trung tâm Bài 24-03: Bộ nhớ hệ vi xử lý Bài 24-04: Thiết bị vào hệ vi xử Trong trình sử dụng giáo trình, tuỳ theo yêu cầu khoa học công nghệ phát triển điều chỉnh thời gian bổ sung kiên thức cho phù hợp Trong giáo trình, chúng tơi có đề nội dung thực tập để người học cố áp dụng kiến thức phù hợp với kỹ Tuy nhiên, theo điều kiện sở vật chất trang thiết bị, trường có thề sử dụng cho phù hợp Tuy nhiên, tùy theo điều kiện sở vật chất trang thiết bị, trường có thề sử dụng cho phù hợp Mặc dù cố gắng tổ chức biên soạn để đáp ứng mục tiêu đào tạo không tránh khiếm khuyết Rất mong nhận đóng góp ý kiến thầy, giáo, bạn đọc để nhóm biên soạn hiệu chỉnh hồn thiện Các ý kiến đóng góp xin gửi Trường Cao đẳng nghề Đồng Tháp Đồng Tháp, ngày tháng năm 2017 Tham gia biên soạn MỤC LỤC TRANG TUYÊN BỐ BẢN QUYỀN LỜI GIỚI THIỆU MỤC LỤC Bài 1: TỔNG QUAN VỀ CÁC HỆ VI XỬ LÝ Các hệ đếm: 1.1 Hệ thập phân (Decimal Number System): 1.2 Hệ nhị phân (Binary Number System): 1.3 Hệ thập lục phân (Hexadecimal Number System): 11 1.4 Mã BCD (Binary Coded Decimal): 12 1.5 Mã hiển thị Led (7-segment display): 12 Chuyển đổi lẫn hệ đếm: 14 2.1 Hệ nhị phân hệ thập phân: 14 2.2 Hệ nhị phân hệ hex: 15 Mã hóa thơng tin: 15 3.1 Mã hóa thơng tin khơng số: 15 3.2 Xét trường hợp biểu diễn số: 20 3.3 Biểu diễn liệu số máy tính: 23 3.4 Biểu diễn vật lý thơng tin máy tính: 24 Các phép toán số học số hệ nhị phân: 24 4.1 Phép cộng phép trừ: 24 4.2 Số bù hai: 26 4.3 Phép nhân phép chia: 28 Cấu trúc hệ vi xử lý máy vi tính: 36 5.1 Lịch sử phát triển trung tâm vi xử lý: 36 5.2 Cấu trúc hệ vi xử lý: 39 5.3 Từ hệ Vi xử lý đến máy vi tính PC: 45 Bài 2: CÁC ĐƠN VI VI XỬ LÝ TRUNG TÂM 48 Trung tâm vi xử lý P 8085: 48 1.1 Các nhóm tín hiệu µ8085: 50 1.2 Khái niệm chất vật lý bus hệ vi xử lý: 52 1.3.Các mạch trạng thái, mạch chốt mạch khuyếch đại BUS chiều: 53 1.4 Biểu đồ Timing thực lệnh CPU µP8085: 58 1.5 Ngắt (lnterrupt): 62 1.6 Truy nhập trực tiếp nhớ (Direct Memory Access - DMA): 63 1.7 Vi chương trình (Microprogram) tập lệnh µP8085: 64 1.8 Vài nét lập trình cho 8085: 88 Các trung tâm vi xử lý họ 80x86: 90 2.1 Mô tả chân P 8086 tín hiệu: 90 2.2 Cấu trúc Trung tâm Vi xử lý họ 80x86: 93 2.3 Hệ thống ghi µP80x86: 95 2.4 Các chế độ làm việc MIN/MAX: 101 2.5 Phương thưc quản lý nhớ, mode địa chỉ: 101 2.6 Phương thức đánh địa thiết bị ngoại vi: 106 2.7 Các mạch multiplexer, decoder, PLA: 107 2.8 Sơ lược lập trình hợp ngữ: 110 Cấu trúc tính số chíp vi xử lý đại: 110 3.1 Cấu trúc chip Vi xử lý Pentium: 145 3.2 Cấu trúc RISC, CISC: 148 3.3 Quản lý nhớ: 151 3.4 Bộ nhớ cache: 152 Bài 3: BỘ NHỚ TRONG CỦA HỆ VI XỬ LÝ 155 Bộ nhớ hệ vi xử lý: 155 1.1 Phần tử nhớ, vi mạch nhớ, từ nhớ dung lượng nhớ: 156 1.2 Vài nét nhớ hệ vi xử lý máy tính: 158 1.3 Phân loại chip nhớ ROM, RAM : 161 Tổ chức nhớ cho hệ vi xử lý: 163 2.1 Tổ chức nhớ vật lý: 163 2.2 Thiết kế vỉ nhớ cho hệ vi xử lý: 165 Bài 4: THIẾT BỊ VÀO RA CỦA HỆ VI XỬ LÝ 169 Bàn phím HEX (keyboard): 169 Ghép nối bàn phím với hệ vi xử lý: 174 2.1 Hệ thống bàn phím máy vi tính: 175 2.2 Quá trình truyền liệu từ bàn phím cho CPU: 176 Mạch điều khiển lập trình thị 7-segment: 179 Màn hình (Monitor): 181 4.1 Màn hình ống tia âm cực CRT (Cathode Ray Tube): 181 4.2 Ghép nối hình với hệ Vi xử lý: 183 4.3 Bộ điều khiển hình CRTC: 185 MÔ ĐUN VI XỬ LÝ Mã mơ đun: MĐ 24  Vị trí tính chất, ý nghĩa vai trị mơ đun: - Vị trí mơ đun: Mơ đun bố trí dạy cuối chương trình sau học xong mơn học linh kiện điện tử, đo lường điện tử, kỹ thuật xung - số, điện tử công suất - Tính chất mơ đun: Là mơ đun bắt buộc - Ý nghĩa mô đun: sau học xong mô đun Vi xử lý, người học phải biết lập trình số ứng dụng từ đơn giản đến phức tạp xử lý kết nối máy tính với thiết bị ngoại vi - Vai trị mơ đun: Giáo trình mơ đun “Vi xử lý” nhằm cung cấp cho người học kiến thức lập trình giao tiếp với máy tính vi xử lý  Mục tiêu mô đun: - Về kiến thức: + Trình bày hệ đếm mã hóa máy tính, tương tác máy tính vi xử lý, vi xử lý intel ứng dụng + Giải thích nguyên lý làm việc hệ điều khiển ứng dụng vi xử lý - Về kỹ năng: + Lập trình hợp ngữ số tập cách thành thạo + Xử lý số dạng kết nối máy tính với vi xử lý thiết bị ngoại vi + Phát triển hệ điều khiển sở khối trung tâm vi xử lý - Về thái độ: Rèn luyện tính tỷ mỉ, xác an tồn vệ sinh cơng nghiệp Thời gian Mã MĐ24-01 Tên mô đun Tổng Lý Thực Kiểm số thuyết Hành tra Tổng quan hệ vi xử lý 10 Các hệ đếm 1,2 0,8 MĐ24-02 Chuyển đổi lẫn hệ đếm Mã hóa thơng tin Các phép tính hệ đếm nhị phân Cấu trúc hệ vi xử lý máy vi tính Các đơn vi vi xử lý trung tâm 0,5 0,5 3 0,8 1,7 0,5 0,5 40 10 29 0,5 1 Trung tâm vi xử lý P 8085 15 11 Các trung tâm vi xử lý họ 80x86 15 11 10 30 15 23 12 15 11 40 10 28 12 8 1,5 5,5 12 2,5 8,5 120 30 85 Cấu trúc tính số chíp vi xử lý đại MĐ24-03 Bộ nhớ hệ vi xử lý Bộ nhớ hệ vi xử lý Tổ chức nhớ cho hệ vi xử lý MĐ24-04 Thiết bị vào hệ vi xử Bàn phím HEX (keyboard) Ghép nối bàn phím với hệ vi xử lý Mạch điều khiển lập trình thị Tổng cộng Màn hình Giới thiệu BÀI TỔNG QUAN VỀ CÁC H VI XỬ LÝ Mã bài: MĐ24-01 Khoa học kỹ thuật ngày phát triển mạnh mẽ, công nghệ thuộc lĩnh vực khác nhờ đời nhằm đáp ứng nhu cầu xã hội kỹ thuật Vi xử lý nằm số Hiện kỹ thuật Vi xử lý giảng dạy rộng rãi trường Đại học Cao đẳng nước, nhiên lĩnh vực (Vi xử lý) mẻ, ứng dụng chưa khai thác triệt để hệ thống điều khiển, đo lường điều chỉnh dây chuyền công nghiệp Mục tiêu: - Trình bày số hệ đếm, mã thường dùng hệ vi xử lý - Tính tốn, chuyển đổi phép tốn nhị phân - Trình bày cách biểu diễn thơng tin hệ vi xử lý - Rèn luyện tính tư duy, tác phong công nghiệp Các hệ đếm: Mục tiêu: Trình bày số hệ đếm, mã thường dùng hệ vi xử lý  Nguyên lý việc viết số - Một số viết cách đặt kề ký hiệu, chọn tập hợp xác định Mỗi ký hiệu số gọi số mã (số hạng, digital) Ví dụ: Trong hệ thống thập phân (cơ số 10) tập hợp gồm 10 ký hiệu quen thuộc, số từ đến 9: S10= {0, 1, 2, 3, 4, 5, 6, 7, 8, 9} - Khi số gồm nhiều số mã viết, giá trị số mã tùy thuộc vị trí số Giá trị gọi trọng số số mã - Trong triển khai, số mũ đa thức vị trí ký hiệu số với quy ước vị trí hàng đơn vị 0, vị trí liên tiếp phía trái 1, 2, 3, Nếu có phần lẻ, vị trí sau dấu phẩy -1, vị trí liên tiếp phía phải -2, -3, Ta thấy, số (sau số 1) có trọng số 900 số thứ hai 90 - Với ký hiệu giống hệ 10, ký hiệu đứng trước có trọng số gấp 10 lần ký hiệu đứng sau Điều hồn tồn cho hệ khác Ví dụ, hệ nhị phân ( số 2) tỉ lệ - Có nhiều hệ thống số sử dụng kỹ thuật số, hệ đếm thông dụng là: hệ thập phân (decimal number system), nhị phân (binary number system), thập lục phân (hexadecimal number system)… 1.1 Hệ thập phân (Decimal Number System): - Đây hệ đếm mà sử dụng hàng ngày Hệ đếm sử dụng mười chữ số ‘0’ ’9’để biểu diễn số hệ 10 Chữ số ‘0’ biểu diễn số 0, chữ số ‘1’ biểu diễn số 1,… Khi đếm đến 10 hết số nên phải thêm sang hàng bên trái để thành ‘10’ (mười) lại tiếp tục đếm ‘11’, ‘12’, ‘13’, Một số hệ 10 có giá trị tổng giá trị chữ số nhân với trọng số tương ứng chữ số Trọng số chữ số thứ i 10i Ví dụ: 12345,67 = 1x104+ 2x103 + 3x102 + 4x101 + 5x100 + 6x10-1 + 7x10-2 - Khi làm việc với nhiều hệ đếm số hệ 10 có thêm chữ D cuối để số hệ 10 Ví dụ: 12,25D Tổng qt hóa cho hệ đếm số a (a ≥ 2, a ∈ N): - Sử dụng a chữ số để biểu diễn số hệ a Chữ số có giá trị nhỏ ‘0’, chữ số có giá trị lớn tùy thuộc vào hệ đếm có giá trị a-1 - Giá trị (lượng) số hệ a tổng giá trị chữ số nhân với trọng số tương ứng chữ số Trọng số số thứ i Ví dụ: Số 5346,72 biểu diễn sau: -1 -2 5346,72 = 5.10 + 3.10 + 4.10 + + 7.10 + 2.10 - Tuy nhiên, mạch điện tử, việc lưu trữ phân biệt 10 mức điện áp khác khó khăn việc phân biệt hai mức điện áp lại dễ dàng Do đó, người ta sử dụng hệ nhị phân để biểu diễn giá trị hệ thống số Trong số thập phân thì:  Số tận bên trái số có giá trị lớn MSD ( Most Significant Digit)  Số tận bên phải số có giá trị nhỏ LSD ( Least Significant Digit) 1.2 Hệ nhị phân (Binary Number System): - Hệ nhị phân gồm có chữ số: 1, ký số nhị phân gọi bit (binary digit) Cơ số hệ nhị phân hay gọi số Một số nhị phân (binary digit) 176 quét tạo mã phím, RAM chứa liệu chương trình điều khiển, hai cổng vào/ra P1 P2, cổng liệu bit Mạch 8048 đưa mã nhị phân bit cổng P2, qua giải mã 3/8 tạo tín hiệu quét bàn phím Tại thời điểm mã bit đưa ra, mạch µP8048 thực đọc tín hiệu 13 bit từ ma trận, phím vào cổng P1, từ tạo mã phím (mã quét) phím nhấn Khi phím nhả mã phím (mã quét) tạo cách cộng mã phím nhấn với 80H - Mạch µP8048, ni nguồn từ máy tính, thực trao đổi thơng tin với thiết bị giao diện bàn phím KC 8042 theo kiểu nối tiếp đồng KC 8042 có cấu trúc tương tự mạch µP8048 KC 8042 đóng vai trị “chủ”, 8048 đóng vai trị "thợ" q trình truyền tin thơng qua hai dây tín hiệu: dây "DATA" dây "CLOCK" - Dây " DATA" truyền tín hiệu liệu nối tiếp µP8048 KC 8042 Tín hiệu nối tiếp bao gồm: bit START, liệu, bit PARITY, bit STOP Quá trình trao đổi thơng tin µP8048 KC 8042 đồng tín hiệu dây "CLOCK" 2.2 Quá trình truyền liệu từ bàn phím cho CPU: - Mạch µP8048 ln phải kiểm tra trạng thái truyền tin qua hai dây “DATA” "CLOCK" trước phát mã phím Khi KC 8042 đặt "DATA" = "CLOCK" = l 8048 phải nhận lệnh từ KC 8042 Khi KC 8042 đặt "DATA" = "CLOCK" = µP8048 quyền truyền mã phím cho máy tính Q trình truyền liệu đồng dãy xung đồng µP8048 phát dây "CLOCK" - Khi KC 8042 nhận mã phím dạng nối tiếp, loại bỏ bit tạo khung liệu truyền, chuyển mã phím vào ghi tạm phát yêu cầu ngắt IRQ1 cho hệ hống ngắt cứng Hệ thống ngắt cứng kích hoạt chương trình phục vụ bàn phím 09H (chương trình phục vụ ngắt 09H) nằm BIOS Chương trình phục vụ bàn phím 09H có chức dịch mã phím thành mã hai byte chứa vào vùng đệm bàn phím - Chương trình phục vụ bàn phím 09H trước hết kiểm tra (mã) phím trượt (Shift, Alt, Ctrl) phím đặc biệt (Scrolllock, Numlock, Capslock, Insert) trước dịch mã phím sang mã hai byte - Mã hai byte chương trình phục vụ bàn phím 09H tạo có cấu trúc tuỳ thuộc mã phím tổ hợp mã phím nhận Nếu nhận mã phím ký tự byte thấp mã hai byte chứa mã ASCII ký tự tương 177 ứng, byte cao chứa mã phím (mã quét phím) Khi chương trình phục vụ bàn phím 09H nhận mã phím khơng phải ký tự byte thấp mã hai byte có giá trị 0, byte cao chứa mã phím mở rộng - Vùng đệm bàn phím có kích thước 32 byte nằm nhớ địa 0000H:041EH Trạng thái phím trượt phím đặc biệt chứa hai nhớ 0000H:0417H 0000H:0418H Có thể truy nhập vùng đệm bàn phím để đọc thơng tin bàn phím nhờ chương trình ngắt 16H BIOS - Chương trình phục vụ bàn phím 09H xử lý trường hợp đặc biệt như:  Khi phím nhấn lâu (ví dụ 0.5 giây) KC 8042 không nhận mã phím nhả, gửi cho đơn vị xử lý trung tâm mã phím nhấn  Khi nhận tổ hợp phím Ctrl+Alt+Del khởi động lại máy tính  Khi nhận mã phím Printscreen kích hoạt ngắt 05H BIOS  Khi nhận mã phím Ctrl+Break kích hoạt ngắt IBH BIOS Ví dụ: Dùng phần mềm Emu8086 viết chương trình nhập vào ký tự từ bàn phím, sau hiển thị ký tự đả nhập hình mơ ;COUNT NUMBER OF KEYPRESSES.THE RESULT IS IN BX REGISTER ; YOU MUST TYPE INTO THE EMULATOR'S SCREEN, ; IF IT CLOSES, PRESS SCREEN BUTTON TO RE-OPEN IT NAME "KEYCOUNT" ORG 100H ; PRINT WELCOME MESSAGE: MOV DX, OFFSET MSG MOV AH, INT 21H XOR BX, BX ; ZERO BX REGISTER WAIT: MOV AH, ; WAIT FOR ANY KEY INT 16H CMP AL, 27 ; IF KEY IS 'ESC' THEN EXIT 178 JE STOP MOV AH, 0EH ; PRINT IT INT 10H INC BX ; INCREASE BX ON EVERY KEY PRESS JMP WAIT ; PRINT RESULT MESSAGE: STOP: MOV DX, OFFSET MSG2 MOV AH, INT 21H MOV AX, BX CALL PRINT_AX ; WAIT FOR ANY KEY PRESS: MOV AH, INT 16H RET ; EXIT TO OPERATING SYSTEM MSG DB "I'LL COUNT ALL YOUR KEYPRESSES PRESS 'ESC' TO STOP ", 0DH,0AH, "$" MSG2 DB 0DH,0AH, "RECORDED KEYPRESSES: $" PRINT_AX PROC CMP AX, JNE PRINT_AX_R PUSH AX MOV AL, '0' MOV AH, 0EH INT 10H POP AX RET PRINT_AX_R: PUSH A MOV DX, CMP AX, JE PN_DONE MOV BX, 10 DIV BX CALL PRINT_AX_R 179 MOV ADD MOV INT JMP PN_DONE: POP A RET END AX, DX AL, 30H AH, 0EH 10H PN_DONE Mạch điều khiển lập trình thị 7-segment: Mục tiêu: Hiểu mạch điều khiển led đoạn lập trình hiển thị led đoạn - Hiển thị (7-segment Light Emitting Diode - LED Display) loại đơn giản nhận tín hiệu hiển thị dạng phát sáng Có thể sử dụng vi mạch để hiển thị ký tự số từ đến Khi có dịng điện chạy qua, diode phát sáng - Hình 4.8 sơ đồ mạch hiển thị digits sử dụng vi mạch hiển thị segment sử dụng cổng PPI-8255 theo phương pháp điều khiển hiển thị đa công Multiplexing) đồng Các sáng a, b, c, , g mạch hiển thị nối song song với nối với ngõ giải mã BCD-7segment SN7447 Việc cấp nguồn ni cho mạch hiển thị (1 digit) đóng ngắt transistor PNP làm việc chế độ khóa đóng mở nhờ xung điều khiển từ ngõ cổng A PPI- 8255 Như vậy, thời điểm, cách lập trình cho PPI-8255, ta điều khiển để mạch hiển thị phát sáng Nếu tần số trình phát đạt đến khoảng 15 đến 20 lần/sec, không xảy tượng nhấp nháy theo dõi 180 Hình 4.8 Sơ đồ mạch hiển thị segment - Dữ liệu cần hiển thị dạng mã BCD (4-bit) đưa mạch giả mã hiển thị SN7447 qua dây.tương ứng cổng.B, đồng thời vị trí digit cần hiển thị điều khiển phát sáng cách đưa điện áp mức "0" lên ngõ tương ứng A để làm thông Transistor cấp nguồn cho mạch segment tương ứng Như cách lập trình qt vịng qua tất digit, điều khiển hiển thị liệu gồm tối đa chữ số (hình 4.9) 181 Hình 4.9 Sơ đồ nguyên lý mạch điều khiển bảng hiển thị ký tự số sử dụng PPI8255 theo phương pháp quét động Màn hình (Monitor): Mục tiêu: Hiểu cấu tạo hình máy tính cách giao tiếp chúng với vi xử lý 4.1 Màn hình ống tia âm cực CRT (Cathode Ray Tube): Màn hình ống tia âm cực CRT thiết bị hiển thị thơng dụng Màn hình CRT có cấu tạo hình 4.10 182 Hình 4.10 Màn hình CRT Màn hình CRT ống thủy tinh chân không với phận: cathode phát xạ điện tử, ống phóng tia điện tử, cuộn lái tia hiển thị Cathode kim loại nối với điện áp âm, đốt nóng tạo điện tử tự Màn hiển thị phủ lớp chất liệu phát quang dẫn điện, nối với điện áp dương đóng vai trị anode Dưới tác dụng điện trường cường độ cao ống phóng, điện tử rời khỏi cathode, hội tụ thành chùm tia hướng phía hiển thị Cuộn lái tia có tác dụng lái chùm tia điện tử dịch chuyển theo hai chiều dọc ngang hình 183 Khi chùm tia điện tử dập vào hiển thị tạo nên điểm phát sáng Cường độ điểm sáng phụ thuộc vào cường độ chùm tia chất liệu phát sáng Khi chùm tia chuyển hướng điểm cịn lưu sáng khoảng thời gian ngắn sau đó, thời gian lưu sáng phụ thuộc vào chất liệu phát sáng cường độ chùm tia Hình 4.11 Các điểm ảnh - Ảnh hình CRT tạo từ điểm ảnh Điểm ảnh tạo cường độ chùm tia điện tử tăng lên, điểm ảnh không xuất chùm tia bị tắt Các điểm ảnh tạo theo dịng, từ xuống Một ảnh hồn chỉnh tạo hiển thị dòng chứa điểm ảnh Các điểm ảnh tồn thời gian ngắn Để quan sát ảnh cần làm tươi điểm ảnh theo chu kỳ xác định Các điểm ảnh làm tươi theo dòng, dòng thứ Các dòng làm tươi từ xuống Khi dòng cuối quét xong, trình làm tươi bắt đầu lại từ dịng (hình 4.11) 4.2 Ghép nối hình với hệ Vi xử lý: Các thiết bị hiển thị sử dụng máy vi tính PC loại ánh xạ nhớ Bộ nhớ đơn vị xử lý trung tâm thiết bị điều khiển hình truy nhập gọi nhớ hiển thị Thông tin cần hiển thị đưa nhớ hiển thị, thiết bị điều khiển hình CRTC liên tục đọc nhớ để đưa hình Hình 4.11 sau minh họa nguyên tắc ánh xạ từ nhớ hiển thị hình chế độ văn bản: 184 Hình 4.12- Hiển thị ký tự hình CRT theo nguyên tắc ánh xạ nhớ Mỗi ký tự hình ánh xạ ô nhớ hai byte nhớ hiển thị Byte đầu chứa mã ASCII ký tự, byte thứ hai chứa thuộc tính (màu nền, màu chữ, có/khơng nhấp nháy) ký tự Vị trí mã ký tự nhớ xác định vị trí ký tự hình Mã ký tự nhớ hiển thị (ví dụ: mã 41H) ánh xạ thành ký tự (ký tự A) lên góc trái hiển thị, mã ký tự ánh xạ thành ký tự v.v Phương pháp ánh xạ nhớ cho phép chương trình máy tính dễ dàng thay đổi nội dung hiển thị cách thay đổi nội dung nhớ hiển thị Mỗi ký tự hiên thị hình dạng ma trận 8x8 điểm ảnh sáng/tối hình 4.13: 185 Hình 4.13 Matrix 8x8 Phương pháp hiển thị ánh xạ nhớ khơng hồn tồn phù hợp với việc hiển thị đối tượng có hình dạng khơng bình thường chuyển động nhanh, đáp ứng thời gian thực bị chậm cần phải thao tác nhiều điểm ảnh để dịch chuyển đối tượng 4.3 Bộ điều khiển hình CRTC: - Thiết bị giao diện hình (bộ điều khiển hình) CRTC thực việc chuyển mã ký tự nhớ hiển thị thành ký tự hình Ở chế độ văn mẫu ký tự hiển thị vị trí hàng cột cố định (25 hàng x 80 cột) - Sơ đồ nguyên lý thiết bị giao diện hình chế độ văn hình 4.14 Hình 4.14 Sơ đồ khối điều khiển hiển thị CRTC - Mỗi ký tự hình chứa nhiều hàng điểm ảnh CRTC có 186 nhiệm vụ chuyển mã ASCII nhớ hiển thị thành chuỗi mẫu điểm ảnh, đưa mẫu nằm lên dòng hình Điều thực nhờ ROM tạo ký tự ROM tạo ký tự chứa hộp mẫu ký tự, hộp mẫu ký tự có kích thước byte mang thông tin ma trận điểm ảnh ký tự Ví dụ hộp mẫu ký tự A có dạng sau: 00110000 01111000 11001100 11001100 11111100 - Nếu cần hiển thị 256 ký tự ASCII cần ROM 2kbyte, đủ chứa 256 hộp mẫu ký tự, hộp mẫu chiếm ô nhớ liền Các hộp mẫu ký tự ROM tạo ký tự định vị địa 11 bit, bit địa cao xác định vị trí hộp ROM, địa thấp xác định vị trí byte mẫu điểm ảnh hộp Các mẫu ký tự đặt ROM theo trật tự bảng mã ASCII - Nguyên lý hoạt động thiết bị giao diện hình chế độ văn sau: Giả sử cần hiển thị hai ký tự A B vị trí hàng cột hàng - cột hình Mã ASCII hai ký tự đặt hai vị trí tương ứng nhớ hiển thị (xem hình vẽ mục 2.2) - CRTC gửi địa hàng cột hình cho nhớ hiển thị (hàng=0, cột=0) Bộ nhớ hiển thị gửi mã ASCII ký tự (ký tự A) cho ROM, mã ASCII ký tự mang thông tin địa hộp mẫu ký tự ROM (8 bit địa cao) Tại thời điểm CRTC gửi địa dòng mẫu điểm ảnh (dòng mẫu điểm 0) cho ROM (3 bit địa thấp) Hai địa kết hợp lại tạo thành địa (11 bit) cho phép truy nhập vào dòng mẫu điểm ảnh ký tự (ký tự A) ROM xuất ghi dịch ảnh Từ ghi dịch ảnh, bit mẫu ảnh đưa hình - Khi tất bit mẫu ảnh từ ghi dịch đẩy hình, CRTC tiếp tục gửi địa hàng-cột (hàng=0, cột=1) cho nhớ hiển thị gửi địa dòng mẫu điểm ảnh (dòng mẫu điểm 0) cho ROM, nhớ hiển thị gửi mã ASCII ký tự (ký tự B) cho ROM Dòng mẫu điểm ảnh ký tự (ký tự B) xuất ghi dịch ảnh Tương tự dòng mẫu điểm tất ký tự hàng hình hiển thị, ký tự cuối hàng 187 - CRTC tiếp tục gửi địa hàng-cột (hàng=0, cột=0) đến nhớ hiển thị, địa dòng mẫu điểm ảnh (dòng mẫu điểm 1) cho ROM Bộ nhớ hiển thị gửi mã ASCII ký tự A cho ROM, ROM xuất dòng mẫu điểm ảnh ký tự A Dòng ký tự B xuất theo cách tương tự Các dòng điểm ảnh ký tự hiển thị lên hình tất dòng điểm ảnh hàng văn (hàng 0) hiển thị hình - Các hàng văn hiển thị theo phương pháp nói Trên thực tế hoạt động CRTC phức tạp CRTC phải có khả hiển thị chế độ đồ họa CRTC phải theo dõi thơng tin thuộc tính ký tự hiển thị, phải tạo điểm nháy CRTC phải.tạo hai tín hiệu đồng ảnh ngang - dọc làm tươi hình Tần số làm tươi tốithiếu 50 Hz Bài tập Bài 1: Viết chương trình đếm từ đến 65535 hiển thị led đoạn Gợi ý: ; THIS EXAMPLE SHOWS HOW TO ACCESS VIRTUAL PORTS (0 ;TO 65535) ; THESE PORTS ARE EMULATED IN THIS FILE: ;C:\EMU8086.IO ;THIS TECHNOLOGY ALLOWS TO MAKE EXTERNAL ADD-ON ;DEVICES ; FOR EMU8086, SUCH AS LED DISPLAYS ; C:\EMU8086\DEVICES\LED_DISPLAY.EXE #START=LED_DISPLAY.EXE# #MAKE_BIN# NAME "LED" MOV AX, 1234 ; AX = 1234 OUT 199, AX ; XUấT GIÁ TRị CủA AX RA PORT 199 MOV AX, -5678 OUT 199, AX ; ETERNAL LOOP TO WRITE VALUES TO PORT: MOV AX, X1: OUT 199, AX INC AX 188 JMP X1 HLT ; BÀI T P 2: VIẾT CHƯƠNG TRÌNH ĐẾM Từ ĐẾN 255 HI N THỊ TRÊN LED ĐO N G i ý: ; THIS EXAMPLE SHOWS HOW TO ACCESS VIRTUAL PORTS (0 ;TO 65535) ; THESE PORTS ARE EMULATED IN THIS FILE: ;C:\EMU8086.IO ;THIS TECHNOLOGY ALLOWS TO MAKE EXTERNAL ADD-ON ;DEVICES ; FOR EMU8086, SUCH AS LED DISPLAYS ; C:\EMU8086\DEVICES\LED_DISPLAY.EXE #START=LED_DISPLAY.EXE# #MAKE_BIN# NAME "LED" MOV AX, 1234 ; AX = 1234 OUT 199, AX ; XUấT GIÁ TRị CủA AX RA PORT 199 MOV AX, -5678 OUT 199, AX ; ETERNAL LOOP TO WRITE VALUES TO PORT: MOV AX, X1: OUT 199, AX INC AX CPM AX,255 189 JAE THOAT ; NHÃY ĐếN THOAT KHI AX ≥ 255 JMP X1 THOAT: HLT ; CMP AL, 10H ;so sanh AL voi 10H JAE THOI ;nhay den THOI neu AL cao hon hoac bang  CÁC CH TIÊU ĐÁNH GIÁ: - Trình bày cấu tạo thiết bị vào hệ vi xử lý - Thực phương pháp kết nối thiết bị vào với CPU hệ vi xử lý - Rèn luyện tính tư duy, xác, an tồn vệ sinh công nghiệp YÊU CẦU ĐÁNH GIÁ KẾT QUẢ HỌC TẬP BÀI 4: Nội dung: + Về kiến thức: trình bày cấu tạo bàn phím hex hình CRT + Về kỹ năng: Lập trình truyền liệu từ bàn phím đến Vi xử lý + Về thái độ: Rèn luyện tính tỷ mỉ, xác, an tồn vệ sinh công nghiệp Phương pháp: + Về kiến thức: Được đánh giá phương pháp viết, trắc nghiệm + Về kỹ năng: Được đánh giá phương pháp thực hành + Về thái độ: Rèn luyện tính tỷ mỉ, xác, an tồn vệ sinh cơng nghiệp 190 TÀI LI U THAM KHẢO [1] Đề cương môđun/môn học nghề Sửa chữa thiết bị điện tử công nghiệp”, Dự án Giáo dục kỹ thuật Dạy nghề (VTEP), Tổng cục Dạy Nghề, Hà Nội, 2003 [2] Giáo trình vi xử lý, Trần Văn Trọng Trường ĐHSPKT TP HCM [4] Kỹ thuật vi xử lý, Văn Thế Minh Trường ĐHSPKT TP HCM [5] baugruppen der mikroelektronik III , Plaum Verlag Muenchen [6] programmierrung des z80, Rodnay Zaks [7] Microprocessors and IC Families, Walter H Buchbaums, Sc.D [8] Microprocessors and Interfacing, Doulas V Hall ... hệ vi xử lý máy vi tính: 36 5.1 Lịch sử phát triển trung tâm vi xử lý: 36 5.2 Cấu trúc hệ vi xử lý: 39 5.3 Từ hệ Vi xử lý đến máy vi tính PC: 45 Bài 2: CÁC ĐƠN VI VI... trúc hệ vi xử lý máy vi tính: Mục tiêu:biết lịch sử phát triển trung tâm vi sử lý 5.1 Lịch sử phát triển trung tâm vi xử lý: - Bộ vi xử lý thành phần thiếu để tạo nên máy vi tính hệ vi xử lý Trước... có: Bài 24-01: Tổng quan hệ vi xử lý Bài 24-02: Các đơn vi vi xử lý trung tâm Bài 24-03: Bộ nhớ hệ vi xử lý Bài 24-04: Thiết bị vào hệ vi xử Trong trình sử dụng giáo trình, tuỳ theo yêu cầu khoa

Ngày đăng: 23/10/2022, 06:55

Tài liệu cùng người dùng

Tài liệu liên quan